You can not select more than 25 topics Topics must start with a letter or number, can include dashes ('-') and can be up to 35 characters long.

1075 lines
42 KiB

6 years ago
6 years ago
6 years ago
6 years ago
6 years ago
6 years ago
6 years ago
6 years ago
6 years ago
6 years ago
6 years ago
6 years ago
6 years ago
6 years ago
6 years ago
6 years ago
6 years ago
6 years ago
6 years ago
6 years ago
6 years ago
6 years ago
6 years ago
6 years ago
6 years ago
6 years ago
6 years ago
6 years ago
6 years ago
6 years ago
6 years ago
6 years ago
6 years ago
6 years ago
6 years ago
6 years ago
6 years ago
6 years ago
6 years ago
6 years ago
6 years ago
6 years ago
6 years ago
6 years ago
6 years ago
6 years ago
6 years ago
6 years ago
6 years ago
6 years ago
6 years ago
6 years ago
6 years ago
6 years ago
6 years ago
6 years ago
6 years ago
6 years ago
6 years ago
6 years ago
6 years ago
6 years ago
6 years ago
6 years ago
6 years ago
6 years ago
6 years ago
6 years ago
6 years ago
6 years ago
6 years ago
6 years ago
6 years ago
  1. "use strict";
  2. Object.defineProperty(exports, "__esModule", { value: true });
  3. let isTesting = false;
  4. const ILCommentPrefix = "@@comments";
  5. const ILQuotesPrefix = "@@quotes";
  6. class NewLineSettings {
  7. constructor() {
  8. this.newLineAfter = [];
  9. this.noNewLineAfter = [];
  10. }
  11. newLineAfterPush(keyword) {
  12. this.newLineAfter.push(keyword);
  13. }
  14. noNewLineAfterPush(keyword) {
  15. this.noNewLineAfter.push(keyword);
  16. }
  17. push(keyword, addNewLine) {
  18. let str = addNewLine.toLowerCase();
  19. if (str.indexOf("none") >= 0) {
  20. return;
  21. }
  22. else if (str.indexOf("no") < 0) {
  23. this.newLineAfterPush(keyword);
  24. }
  25. else {
  26. this.noNewLineAfterPush(keyword);
  27. }
  28. }
  29. }
  30. exports.NewLineSettings = NewLineSettings;
  31. function ConstructNewLineSettings(dict) {
  32. let settings = new NewLineSettings();
  33. for (let key in dict) {
  34. settings.push(key, dict[key]);
  35. }
  36. return settings;
  37. }
  38. function fetchHeader(url, wch) {
  39. try {
  40. var req = new XMLHttpRequest();
  41. req.open("HEAD", url, false);
  42. req.send(null);
  43. if (req.status == 200) {
  44. return req.getResponseHeader(wch);
  45. }
  46. else
  47. return false;
  48. }
  49. catch (e) {
  50. return "";
  51. }
  52. }
  53. String.prototype.count = function (text) {
  54. return this.split(text).length - 1;
  55. };
  56. String.prototype.regexStartsWith = function (pattern) {
  57. var searchResult = this.search(pattern);
  58. return searchResult == 0;
  59. };
  60. String.prototype.regexIndexOf = function (pattern, startIndex) {
  61. startIndex = startIndex || 0;
  62. var searchResult = this.substr(startIndex).search(pattern);
  63. return (-1 === searchResult) ? -1 : searchResult + startIndex;
  64. };
  65. String.prototype.regexLastIndexOf = function (pattern, startIndex) {
  66. startIndex = startIndex === undefined ? this.length : startIndex;
  67. var searchResult = this.substr(0, startIndex).reverse().regexIndexOf(pattern, 0);
  68. return (-1 === searchResult) ? -1 : this.length - ++searchResult;
  69. };
  70. String.prototype.reverse = function () {
  71. return this.split('').reverse().join('');
  72. };
  73. function wordWrap() {
  74. var d = document.getElementById("result");
  75. if (d.className == "") {
  76. d.className = "wordwrap";
  77. }
  78. else {
  79. d.className = "";
  80. }
  81. }
  82. function getHTMLInputElement(name) {
  83. return document.getElementById(name);
  84. }
  85. function noFormat() {
  86. let elements = ["remove_comments",
  87. "remove_lines",
  88. "remove_report",
  89. "check_alias",
  90. "sign_align",
  91. "sign_align_all",
  92. "new_line_after_port",
  93. "new_line",
  94. "use_space",
  95. "compress",
  96. "mix_letter"];
  97. var t = !(getHTMLInputElement("remove_comments").disabled);
  98. elements.forEach(element => {
  99. getHTMLInputElement(element).disabled = t;
  100. });
  101. let keyword = document.getElementById("keyword");
  102. for (let i = 0; i < keyword.elements.length; i++) {
  103. keyword.elements[i].disabled = t;
  104. }
  105. }
  106. function indent_decode() {
  107. var custom_indent = getHTMLInputElement("cust_indent").value;
  108. var result = indentDecode(custom_indent);
  109. document.getElementById("indent_s").innerHTML = result;
  110. }
  111. function indentDecode(input) {
  112. input = input.replace(/\\t/g, " ");
  113. var count = [" & one ", " & two ", " & three ", " & four ", " & five ", " & six ", " & seven ", " & eight ", " & many "];
  114. var tokens = input.split("");
  115. var result = "";
  116. var repeatedCharCount = 0;
  117. for (var i = 0; i < tokens.length; i++) {
  118. var char = input.substr(i, 1);
  119. if (char == input.substr(i + 1, 1)) {
  120. repeatedCharCount++;
  121. }
  122. else {
  123. switch (char) {
  124. case " ":
  125. char = "blankspace";
  126. break;
  127. case "\t":
  128. char = "tab";
  129. }
  130. repeatedCharCount = repeatedCharCount > 8 ? 8 : repeatedCharCount;
  131. result += count[repeatedCharCount] + char;
  132. repeatedCharCount = 0;
  133. }
  134. }
  135. if (result.length < 0) {
  136. switch (char) {
  137. case " ":
  138. char = "blankspace";
  139. break;
  140. case "\t":
  141. char = "tab";
  142. }
  143. repeatedCharCount = repeatedCharCount > 8 ? 8 : repeatedCharCount;
  144. result = count[repeatedCharCount] + char;
  145. }
  146. result = result.replace(/^ & /, "");
  147. return result;
  148. }
  149. exports.indentDecode = indentDecode;
  150. function Compress(input) {
  151. input = input.replace(/\r\n/g, '');
  152. input = input.replace(/[\t ]+/g, ' ');
  153. input = input.replace(/[ ]?([&=:\-<>\+|])[ ]?/g, '$1');
  154. return input;
  155. }
  156. function MixLetters(input) {
  157. let arr = input.split("");
  158. for (var k = 0; k < arr.length; k++) {
  159. if (arr[k] === arr[k].toUpperCase() && Math.random() > 0.5) {
  160. arr[k] = arr[k].toLowerCase();
  161. }
  162. else if (Math.random() > 0.5) {
  163. arr[k] = arr[k].toUpperCase();
  164. }
  165. }
  166. return arr.join("");
  167. }
  168. function EscapeComments(arr, comments, commentIndex) {
  169. for (var i = 0; i < arr.length; i++) {
  170. let line = arr[i];
  171. var firstCharIndex = line.regexIndexOf(/[a-zA-Z0-9\(\&\)%_\+'"|]/);
  172. var commentStartIndex = line.indexOf("--");
  173. if (firstCharIndex < commentStartIndex && firstCharIndex >= 0) {
  174. comments.push(line.substr(commentStartIndex));
  175. arr[i] = line.substr(firstCharIndex, commentStartIndex - firstCharIndex) + ILCommentPrefix + (commentIndex++);
  176. }
  177. else if ((firstCharIndex > commentStartIndex && commentStartIndex >= 0) || (firstCharIndex < 0 && commentStartIndex >= 0)) {
  178. comments.push(line.substr(commentStartIndex));
  179. arr[i] = ILCommentPrefix + (commentIndex++);
  180. }
  181. else {
  182. firstCharIndex = firstCharIndex < 0 ? 0 : firstCharIndex;
  183. arr[i] = line.substr(firstCharIndex);
  184. }
  185. }
  186. return commentIndex;
  187. }
  188. function ToLowerCases(arr) {
  189. for (var i = 0; i < arr.length; i++) {
  190. arr[i] = arr[i].toLowerCase();
  191. }
  192. }
  193. function ToCamelCases(arr) {
  194. for (var i = 0; i < arr.length; i++) {
  195. arr[i] = arr[i].charAt(0) + arr[i].slice(1).toLowerCase();
  196. }
  197. }
  198. function ReplaceKeyWords(text, keywords) {
  199. for (var k = 0; k < keywords.length; k++) {
  200. text = text.replace(new RegExp("([^a-zA-Z0-9_@]|^)" + keywords[k] + "([^a-zA-Z0-9_]|$)", 'gi'), "$1" + keywords[k] + "$2");
  201. }
  202. return text;
  203. }
  204. function SetKeywordCase(input, keywordcase, keywords, typenames) {
  205. let inputcase = keywordcase.toLowerCase();
  206. if (inputcase == "lowercase") {
  207. ToLowerCases(keywords);
  208. ToLowerCases(typenames);
  209. }
  210. else if (inputcase == "defaultcase") {
  211. ToCamelCases(keywords);
  212. ToCamelCases(typenames);
  213. }
  214. if (inputcase != "uppercase") {
  215. input = ReplaceKeyWords(input, keywords);
  216. input = ReplaceKeyWords(input, typenames);
  217. }
  218. return input;
  219. }
  220. function SetNewLinesAfterSymbols(text, newLineSettings) {
  221. if (newLineSettings == null) {
  222. return text;
  223. }
  224. if (newLineSettings.newLineAfter != null) {
  225. newLineSettings.newLineAfter.forEach(symbol => {
  226. let regex = new RegExp("(" + symbol.toUpperCase() + ")[ ]?([^ \r\n@])", "g");
  227. text = text.replace(regex, '$1\r\n$2');
  228. });
  229. }
  230. if (newLineSettings.noNewLineAfter != null) {
  231. newLineSettings.noNewLineAfter.forEach(symbol => {
  232. let regex = new RegExp("(" + symbol.toUpperCase() + ")[ \r\n]+([^@])", "g");
  233. text = text.replace(regex, '$1 $2');
  234. });
  235. }
  236. return text;
  237. }
  238. exports.SetNewLinesAfterSymbols = SetNewLinesAfterSymbols;
  239. class BeautifierSettings {
  240. constructor(removeComments, removeReport, checkAlias, signAlign, signAlignAll, keywordCase, indentation, newLineSettings) {
  241. this.RemoveComments = removeComments;
  242. this.RemoveAsserts = removeReport;
  243. this.CheckAlias = checkAlias;
  244. this.SignAlignRegional = signAlign;
  245. this.SignAlignAll = signAlignAll;
  246. this.KeywordCase = keywordCase;
  247. this.Indentation = indentation;
  248. this.NewLineSettings = newLineSettings;
  249. }
  250. }
  251. exports.BeautifierSettings = BeautifierSettings;
  252. let KeyWords = ["ABS", "ACCESS", "AFTER", "ALIAS", "ALL", "AND", "ARCHITECTURE", "ARRAY", "ASSERT", "ATTRIBUTE", "BEGIN", "BLOCK", "BODY", "BUFFER", "BUS", "CASE", "COMPONENT", "CONFIGURATION", "CONSTANT", "CONTEXT", "COVER", "DISCONNECT", "DOWNTO", "DEFAULT", "ELSE", "ELSIF", "END", "ENTITY", "EXIT", "FAIRNESS", "FILE", "FOR", "FORCE", "FUNCTION", "GENERATE", "GENERIC", "GROUP", "GUARDED", "IF", "IMPURE", "IN", "INERTIAL", "INOUT", "IS", "LABEL", "LIBRARY", "LINKAGE", "LITERAL", "LOOP", "MAP", "MOD", "NAND", "NEW", "NEXT", "NOR", "NOT", "NULL", "OF", "ON", "OPEN", "OR", "OTHERS", "OUT", "PACKAGE", "PORT", "POSTPONED", "PROCEDURE", "PROCESS", "PROPERTY", "PROTECTED", "PURE", "RANGE", "RECORD", "REGISTER", "REJECT", "RELEASE", "REM", "REPORT", "RESTRICT", "RESTRICT_GUARANTEE", "RETURN", "ROL", "ROR", "SELECT", "SEQUENCE", "SEVERITY", "SHARED", "SIGNAL", "SLA", "SLL", "SRA", "SRL", "STRONG", "SUBTYPE", "THEN", "TO", "TRANSPORT", "TYPE", "UNAFFECTED", "UNITS", "UNTIL", "USE", "VARIABLE", "VMODE", "VPROP", "VUNIT", "WAIT", "WHEN", "WHILE", "WITH", "XNOR", "XOR"];
  253. let TypeNames = ["BOOLEAN", "BIT", "CHARACTER", "INTEGER", "TIME", "NATURAL", "POSITIVE", "STRING"];
  254. function beautify(input, settings) {
  255. input = input.replace("\r\n", "\n");
  256. input = input.replace("\n", "\r\n");
  257. var arr = input.split("\r\n");
  258. var comments = [], commentsIndex = 0;
  259. commentsIndex = EscapeComments(arr, comments, commentsIndex);
  260. input = arr.join("\r\n");
  261. if (settings.RemoveComments) {
  262. input = input.replace(/\r\n[ \t]*@@comments[0-9]+[ \t]*\r\n/g, '\r\n');
  263. input = input.replace(/@@comments[0-9]+/g, '');
  264. commentsIndex = 0;
  265. }
  266. input = RemoveExtraNewLines(input);
  267. input = input.replace(/[\t ]+/g, ' ');
  268. input = input.replace(/\([\t ]+/g, '\(');
  269. input = input.replace(/[ ]+;/g, ';');
  270. input = input.replace(/:[ ]*(PROCESS|ENTITY)/gi, ':$1');
  271. input = ReplaceKeyWords(input, KeyWords);
  272. input = ReplaceKeyWords(input, TypeNames);
  273. arr = input.split("\r\n");
  274. ReserveSemicolonInKeywords(arr);
  275. input = arr.join("\r\n");
  276. input = input.replace(/(PORT|PROCESS|GENERIC)[\s]*\(/g, '$1 (');
  277. input = SetNewLinesAfterSymbols(input, settings.NewLineSettings);
  278. arr = input.split("\r\n");
  279. let quotes = EscapeQuotes(arr);
  280. if (settings.RemoveAsserts) {
  281. RemoveAsserts(arr); //RemoveAsserts must be after EscapeQuotes
  282. }
  283. ApplyNoNewLineAfter(arr, settings.NewLineSettings.noNewLineAfter);
  284. input = arr.join("\r\n");
  285. //input = beautify2(input, settings);
  286. //new
  287. input = input.replace(/([a-zA-Z0-9\); ])\);(@@comments[0-9]+)?@@end/g, '$1\r\n);$2@@end');
  288. input = input.replace(/[ ]?([&=:\-<>\+|\*])[ ]?/g, ' $1 ');
  289. input = input.replace(/[ ]?([,])[ ]?/g, '$1 ');
  290. input = input.replace(/[ ]?(['"])(THEN)/g, '$1 $2');
  291. input = input.replace(/[ ]?(\?)?[ ]?(<|:|>|\/)?[ ]+(=)?[ ]?/g, ' $1$2$3 ');
  292. input = input.replace(/(IF)[ ]?([\(\)])/g, '$1 $2');
  293. input = input.replace(/([\(\)])[ ]?(THEN)/gi, '$1 $2');
  294. input = input.replace(/(^|[\(\)])[ ]?(AND|OR|XOR|XNOR)[ ]*([\(])/g, '$1 $2 $3');
  295. input = input.replace(/ ([\-\*\/=+<>])[ ]*([\-\*\/=+<>]) /g, " $1$2 ");
  296. //input = input.replace(/\r\n[ \t]+--\r\n/g, "\r\n");
  297. input = input.replace(/[ ]+/g, ' ');
  298. input = input.replace(/[ \t]+\r\n/g, "\r\n");
  299. input = input.replace(/\r\n\r\n\r\n/g, '\r\n');
  300. input = input.replace(/[\r\n\s]+$/g, '');
  301. input = input.replace(/[ \t]+\)/g, ')');
  302. arr = input.split("\r\n");
  303. let result = [];
  304. beautify3(arr, result, settings, 0, 0);
  305. arr = FormattedLineToString(result, settings.Indentation);
  306. input = arr.join("\r\n");
  307. for (var k = 0; k < quotes.length; k++) {
  308. input = input.replace(ILQuotesPrefix + k, quotes[k]);
  309. }
  310. for (var k = 0; k < commentsIndex; k++) {
  311. input = input.replace(ILCommentPrefix + k, comments[k]);
  312. }
  313. input = input.replace(/@@semicolon/g, ";");
  314. input = input.replace(/@@[a-z]+/g, "");
  315. return input;
  316. }
  317. exports.beautify = beautify;
  318. class FormattedLine {
  319. constructor(line, indent) {
  320. this.Line = line;
  321. this.Indent = indent;
  322. }
  323. }
  324. exports.FormattedLine = FormattedLine;
  325. function FormattedLineToString(arr, indentation) {
  326. let result = [];
  327. if (arr == null) {
  328. return result;
  329. }
  330. arr.forEach(i => {
  331. if (i instanceof FormattedLine) {
  332. if (i.Line.length > 0) {
  333. result.push((Array(i.Indent + 1).join(indentation)) + i.Line);
  334. }
  335. else {
  336. result.push("");
  337. }
  338. }
  339. else {
  340. result = result.concat(FormattedLineToString(i, indentation));
  341. }
  342. });
  343. return result;
  344. }
  345. exports.FormattedLineToString = FormattedLineToString;
  346. function GetCloseparentheseEndIndex(inputs, startIndex) {
  347. let openParentheseCount = 0;
  348. let closeParentheseCount = 0;
  349. for (let i = startIndex; i < inputs.length; i++) {
  350. let input = inputs[i];
  351. openParentheseCount += input.count("(");
  352. closeParentheseCount += input.count(")");
  353. if (openParentheseCount > 0
  354. && openParentheseCount <= closeParentheseCount) {
  355. return i;
  356. }
  357. }
  358. return startIndex;
  359. }
  360. function beautifyPortGenericBlock(inputs, result, settings, startIndex, indent, mode) {
  361. let firstLine = inputs[startIndex];
  362. let regex = new RegExp("[\\w\\s:]*(" + mode + ")([\\s]|$)");
  363. if (!firstLine.regexStartsWith(regex)) {
  364. return startIndex;
  365. }
  366. let firstLineHasParenthese = firstLine.indexOf("(") >= 0;
  367. let hasParenthese = firstLineHasParenthese;
  368. let blockBodyStartIndex = startIndex;
  369. let secondLineHasParenthese = inputs[startIndex + 1].startsWith("(");
  370. if (secondLineHasParenthese) {
  371. hasParenthese = true;
  372. blockBodyStartIndex++;
  373. }
  374. let endIndex = hasParenthese ? GetCloseparentheseEndIndex(inputs, startIndex) : startIndex;
  375. if (endIndex != startIndex && firstLineHasParenthese) {
  376. inputs[startIndex] = inputs[startIndex].replace(/(PORT|GENERIC|PROCEDURE)([\w ]+)\(([\w\(\) ]+)/, '$1$2(\r\n$3');
  377. let newInputs = inputs[startIndex].split("\r\n");
  378. if (newInputs.length == 2) {
  379. inputs[startIndex] = newInputs[0];
  380. inputs.splice(startIndex + 1, 0, newInputs[1]);
  381. endIndex++;
  382. }
  383. }
  384. else if (endIndex != startIndex && secondLineHasParenthese) {
  385. inputs[startIndex + 1] = inputs[startIndex + 1].replace(/\(([\w\(\) ]+)/, '(\r\n$1');
  386. let newInputs = inputs[startIndex + 1].split("\r\n");
  387. if (newInputs.length == 2) {
  388. inputs[startIndex + 1] = newInputs[0];
  389. inputs.splice(startIndex + 2, 0, newInputs[1]);
  390. endIndex++;
  391. }
  392. }
  393. if (firstLineHasParenthese && inputs[startIndex].indexOf("MAP") > 0) {
  394. inputs[startIndex] = inputs[startIndex].replace(/([^\w])(MAP)\s+\(/g, '$1$2(');
  395. }
  396. result.push(new FormattedLine(inputs[startIndex], indent));
  397. if (secondLineHasParenthese) {
  398. result.push(new FormattedLine(inputs[startIndex + 1], indent));
  399. }
  400. let blockBodyEndIndex = endIndex;
  401. let i = beautify3(inputs, result, settings, blockBodyStartIndex + 1, indent + 1, endIndex);
  402. if (inputs[i].startsWith(")")) {
  403. result[i].Indent--;
  404. blockBodyEndIndex--;
  405. }
  406. if (settings.SignAlignRegional) {
  407. blockBodyStartIndex++;
  408. SignAlignRegional(result, blockBodyStartIndex, blockBodyEndIndex);
  409. }
  410. return i;
  411. }
  412. exports.beautifyPortGenericBlock = beautifyPortGenericBlock;
  413. function SignAlignRegional(result, startIndex, endIndex) {
  414. let maxSymbolIndex = {};
  415. let allSymbolIndex = {};
  416. for (let i = startIndex; i <= endIndex; i++) {
  417. let line = result[i].Line;
  418. SetSymbolIndices(line, ":", maxSymbolIndex, allSymbolIndex, i);
  419. SetSymbolIndices(line, ":=", maxSymbolIndex, allSymbolIndex, i);
  420. SetSymbolIndices(line, "=>", maxSymbolIndex, allSymbolIndex, i);
  421. }
  422. for (let key in maxSymbolIndex) {
  423. let maxIndex = maxSymbolIndex[key];
  424. for (let lineIndex in allSymbolIndex[key]) {
  425. let symbolIndex = allSymbolIndex[key][lineIndex];
  426. if (symbolIndex == maxIndex) {
  427. continue;
  428. }
  429. let line = result[lineIndex].Line;
  430. result[lineIndex].Line = line.substring(0, symbolIndex)
  431. + (Array(maxIndex - symbolIndex + 1).join(" "))
  432. + line.substring(symbolIndex);
  433. }
  434. }
  435. }
  436. exports.SignAlignRegional = SignAlignRegional;
  437. function SetSymbolIndices(line, symbol, maxSymbolIndex, allSymbolIndex, index) {
  438. let regex = new RegExp("([\\s\\w]|^)" + symbol + "([\\s\\w]|$)");
  439. let colonIndex = line.regexIndexOf(regex);
  440. if (colonIndex > 0) {
  441. if (maxSymbolIndex.hasOwnProperty(symbol)) {
  442. maxSymbolIndex[symbol] = Math.max(maxSymbolIndex[symbol], colonIndex);
  443. }
  444. else {
  445. maxSymbolIndex[symbol] = colonIndex;
  446. allSymbolIndex[symbol] = {};
  447. }
  448. allSymbolIndex[symbol][index] = colonIndex;
  449. }
  450. }
  451. function beautifyCaseBlock(inputs, result, settings, startIndex, indent) {
  452. if (!inputs[startIndex].regexStartsWith(/(.+:\s*)?(CASE)([\s]|$)/)) {
  453. return startIndex;
  454. }
  455. result.push(new FormattedLine(inputs[startIndex], indent));
  456. let i = beautify3(inputs, result, settings, startIndex + 1, indent + 2);
  457. result[i].Indent = indent;
  458. return i;
  459. }
  460. exports.beautifyCaseBlock = beautifyCaseBlock;
  461. function beautify3(inputs, result, settings, startIndex, indent, endIndex) {
  462. let i;
  463. let regexOneLineBlockKeyWords = new RegExp(/(PROCEDURE|FUNCTION|IMPURE FUNCTION)[^\w](?!.+[^\w]IS([^\w]|$))/); //match PROCEDURE..; but not PROCEDURE .. IS;
  464. let blockMidKeyWords = ["ELSE", "ELSIF", "WHEN", "BEGIN"];
  465. let blockStartsKeyWords = [
  466. "IF",
  467. "CASE",
  468. "ARCHITECTURE",
  469. "PROCEDURE",
  470. "PACKAGE",
  471. "PROCESS",
  472. "POSTPONED PROCESS",
  473. "([\\w\\s]+:\\s*PROCESS)",
  474. "FUNCTION",
  475. "IMPURE FUNCTION",
  476. "(.+\\sPROTECTED)",
  477. "COMPONENT",
  478. "ENTITY"
  479. ];
  480. let blockEndsKeyWords = ["END"];
  481. let newLineAfterKeyWordsStr = blockStartsKeyWords.join("|");
  482. let blockEndKeyWordsStr = blockEndsKeyWords.join("|");
  483. let blockMidKeyWordsStr = blockMidKeyWords.join("|");
  484. let regexBlockMidKeyWords = new RegExp("(" + blockMidKeyWordsStr + ")([^\\w]|$)");
  485. let regexBlockStartsKeywords = new RegExp("(" + newLineAfterKeyWordsStr + ")([^\\w]|$)");
  486. let regexBlockEndsKeyWords = new RegExp("(" + blockEndKeyWordsStr + ")([^\\w]|$)");
  487. if (endIndex == null) {
  488. endIndex = inputs.length - 1;
  489. }
  490. for (i = startIndex; i <= endIndex; i++) {
  491. let input = inputs[i].trim();
  492. if (input.regexStartsWith(/(.+:\s*)?(CASE)([\s]|$)/)) {
  493. i = beautifyCaseBlock(inputs, result, settings, i, indent);
  494. continue;
  495. }
  496. if (input.regexStartsWith(/[\w\s:]*PORT([\s]|$)/)) {
  497. i = beautifyPortGenericBlock(inputs, result, settings, i, indent, "PORT");
  498. continue;
  499. }
  500. if (input.regexStartsWith(/[\w\s:]*GENERIC([\s]|$)/)) {
  501. i = beautifyPortGenericBlock(inputs, result, settings, i, indent, "GENERIC");
  502. continue;
  503. }
  504. result.push(new FormattedLine(input, indent));
  505. if (startIndex != 0
  506. && (input.regexStartsWith(regexBlockMidKeyWords))) {
  507. result[i].Indent--;
  508. }
  509. else if (startIndex != 0
  510. && (input.regexStartsWith(regexBlockEndsKeyWords))) {
  511. result[i].Indent--;
  512. return i;
  513. }
  514. if (input.regexStartsWith(regexOneLineBlockKeyWords)) {
  515. continue;
  516. }
  517. if (input.regexStartsWith(regexBlockStartsKeywords)) {
  518. i = beautify3(inputs, result, settings, i + 1, indent + 1);
  519. }
  520. }
  521. i--;
  522. return i;
  523. }
  524. exports.beautify3 = beautify3;
  525. function beautify2(input, settings) {
  526. let arr = input.split("\r\n");
  527. let quotes = EscapeQuotes(arr);
  528. if (settings.RemoveAsserts) {
  529. RemoveAsserts(arr); //RemoveAsserts must be after EscapeQuotes
  530. }
  531. ApplyNoNewLineAfter(arr, settings.NewLineSettings.noNewLineAfter);
  532. var align = [], align_max = [], align_i1 = 0, align_i = 0;
  533. var str = "", str1 = "";
  534. var p = 0;
  535. var n = 0, j = 0;
  536. var tab_n = 0, str_len = 0, port_s = "";
  537. var back_tab = false, forward_tab = false, semi_pos = 0, begin_b = true, port_b = false;
  538. var before_begin = true;
  539. var l = arr.length;
  540. for (i = 0; i < l; i++) {
  541. if (arr[i].indexOf("BEGIN") >= 0) {
  542. before_begin = false;
  543. }
  544. if (port_s) {
  545. port_s += arr[i];
  546. var k_port = port_s.split("(").length;
  547. if (k_port == port_s.split(")").length) {
  548. arr[i] = arr[i] + "@@end";
  549. port_s = "";
  550. port_b = false;
  551. }
  552. }
  553. if ((!port_b && arr[i].regexIndexOf(/(\s|\(|^)(PORT|GENERIC|PROCESS|PROCEDURE)(\s|\(|$)/) >= 0)
  554. || (arr[i].regexIndexOf(/:[ ]?=[ ]?\(/) >= 0 && before_begin)) {
  555. port_b = true;
  556. port_s = arr[i];
  557. var k_port = port_s.split("(").length;
  558. if (k_port == 1) {
  559. port_b = false;
  560. port_s = "";
  561. }
  562. else if (k_port == port_s.split(")").length) {
  563. port_s = "";
  564. port_b = false;
  565. arr[i] = arr[i] + "@@singleend";
  566. }
  567. else {
  568. arr[i] = arr[i].replace(/(PORT|GENERIC|PROCEDURE)([a-z0-9A-Z_ ]+)\(([a-zA-Z0-9_\(\) ]+)/, '$1$2(\r\n$3');
  569. }
  570. }
  571. }
  572. input = arr.join("\r\n");
  573. input = input.replace(/([a-zA-Z0-9\); ])\);(@@comments[0-9]+)?@@end/g, '$1\r\n);$2@@end');
  574. input = input.replace(/[ ]?([&=:\-<>\+|\*])[ ]?/g, ' $1 ');
  575. input = input.replace(/[ ]?([,])[ ]?/g, '$1 ');
  576. input = input.replace(/[ ]?(['"])(THEN)/g, '$1 $2');
  577. input = input.replace(/[ ]?(\?)?[ ]?(<|:|>|\/)?[ ]+(=)?[ ]?/g, ' $1$2$3 ');
  578. input = input.replace(/(IF)[ ]?([\(\)])/g, '$1 $2');
  579. input = input.replace(/([\(\)])[ ]?(THEN)/gi, '$1 $2');
  580. input = input.replace(/(^|[\(\)])[ ]?(AND|OR|XOR|XNOR)[ ]*([\(])/g, '$1 $2 $3');
  581. input = input.replace(/ ([\-\*\/=+<>])[ ]*([\-\*\/=+<>]) /g, " $1$2 ");
  582. input = input.replace(/\r\n[ \t]+--\r\n/g, "\r\n");
  583. input = input.replace(/[ ]+/g, ' ');
  584. input = input.replace(/\r\n\r\n\r\n/g, '\r\n');
  585. input = input.replace(/[\r\n\s]+$/g, '');
  586. input = input.replace(/[ \t]+\)/g, ')');
  587. var matches = input.match(/'([a-zA-Z]+)\s/g);
  588. if (matches != null) {
  589. for (var k2 = 0; k2 < matches.length; k2++) {
  590. input = input.replace(matches[k2], matches[k2].toUpperCase());
  591. }
  592. }
  593. input = input.replace(/(MAP)[ \r\n]+\(/g, '$1(');
  594. //input = input.replace(/(;|THEN)[ ]?(@@comments[0-9]+)([a-zA-Z])/g, '$1 $2\r\n$3');
  595. input = input.replace(/[\r\n ]+RETURN/g, ' RETURN');
  596. input = input.replace(/BEGIN[\r\n ]+/g, 'BEGIN\r\n');
  597. input = input.replace(/ (PORT|GENERIC) /g, '\r\n$1 ');
  598. if (settings.CheckAlias) {
  599. var alias = [], subarr = [], o = 0, p = 0, p2 = 0, l2 = 0, i2 = 0;
  600. arr = input.split("ARCHITECTURE ");
  601. l = arr.length;
  602. for (i = 0; i < l; i++) {
  603. subarr = arr[i].split("ALIAS ");
  604. l2 = subarr.length;
  605. if (l2 > 1) {
  606. o = 0;
  607. for (i2 = 1; i2 < l2; i2++) {
  608. o = subarr[i2].indexOf(";", n);
  609. str = subarr[i2].substring(0, o);
  610. alias[p2++] = str.split(" IS ");
  611. }
  612. i2--;
  613. var str2 = subarr[i2].substr(o);
  614. for (p = 0; p < p2; p++) {
  615. var reg = new RegExp(alias[p][1], 'gi');
  616. str2 = str2.replace(reg, alias[p][0]);
  617. }
  618. subarr[i2] = subarr[i2].substring(0, o) + str2;
  619. }
  620. arr[i] = subarr.join("ALIAS ");
  621. }
  622. input = arr.join("ARCHITECTURE ");
  623. }
  624. arr = input.split("\r\n");
  625. l = arr.length;
  626. var signAlignPos = "";
  627. var if_b = 0, white_space = "", case_b = false, case_n = 0, procfun_b = false, semi_b = false, set_false = false, entity_b = false, then_b = false, conditional_b = false, generic_map_b = false, architecture_begin_b = false, process_begin_b = false, case_indent = [0, 0, 0, 0, 0, 0, 0];
  628. var align_groups = [], align_groups_max = [], lastAlignedSign = "", current_align_group = 0, aligned_group_starts = 0;
  629. var indent_start = [];
  630. for (i = 0; i < l; i++) {
  631. str = arr[i];
  632. str_len = str.length;
  633. if (str.replace(/[ \-\t]*/, "").length > 0) {
  634. var first_word = str.split(/[^\w]/)[0];
  635. var indent_start_last = indent_start.length == 0 ? 0 : indent_start[indent_start.length - 1];
  636. if (then_b) {
  637. arr[i] = " " + arr[i];
  638. if (str.indexOf(" THEN") >= 0) {
  639. then_b = false;
  640. back_tab = true;
  641. }
  642. }
  643. arr[i] = white_space + arr[i];
  644. if (first_word == "ELSIF") {
  645. tab_n = indent_start_last - 1;
  646. indent_start.pop();
  647. back_tab = true;
  648. }
  649. else if (str.indexOf("END CASE") == 0) {
  650. indent_start.pop();
  651. case_n--;
  652. tab_n = indent_start[indent_start.length - 1];
  653. }
  654. else if (first_word == "END") {
  655. tab_n = indent_start_last - 1;
  656. indent_start.pop();
  657. if (str.indexOf("END IF") == 0) {
  658. if_b--;
  659. }
  660. if (i == l - 1) {
  661. tab_n = 1;
  662. }
  663. }
  664. else if (first_word == "ELSE" && if_b) {
  665. tab_n = indent_start_last - 1;
  666. indent_start.pop();
  667. back_tab = true;
  668. }
  669. else if (case_n) {
  670. if (first_word == "WHEN") {
  671. tab_n = case_indent[case_n - 1];
  672. //back_tab = true;
  673. }
  674. }
  675. else if (first_word == "BEGIN") {
  676. if (begin_b) {
  677. if (architecture_begin_b) {
  678. tab_n = indent_start_last - 1;
  679. architecture_begin_b = false;
  680. }
  681. else if (process_begin_b) {
  682. tab_n = indent_start_last - 1;
  683. process_begin_b = false;
  684. }
  685. else {
  686. tab_n = indent_start_last;
  687. indent_start.push(tab_n + 1);
  688. }
  689. //indent_start.pop();
  690. back_tab = true;
  691. begin_b = false;
  692. if (procfun_b) {
  693. tab_n++;
  694. indent_start.push(tab_n);
  695. begin_b = true;
  696. }
  697. }
  698. else {
  699. back_tab = true;
  700. }
  701. }
  702. else if (first_word == "PROCESS") {
  703. begin_b = true;
  704. }
  705. else if (str.indexOf(": PROCESS") >= 0) {
  706. back_tab = true;
  707. begin_b = true;
  708. process_begin_b = true;
  709. }
  710. else if (str.indexOf(": ENTITY") >= 0) {
  711. back_tab = true;
  712. entity_b = true;
  713. }
  714. else if (str.indexOf("PROCEDURE ") >= 0) {
  715. back_tab = true;
  716. begin_b = true;
  717. }
  718. if (port_b && str.indexOf("@@") < 0) {
  719. if (i + 1 <= arr.length - 1 && arr[i + 1].indexOf("@@") < 0) {
  720. if (signAlignPos == ":") {
  721. if (str.indexOf(';') < 0) {
  722. arr[i] += arr[i + 1];
  723. arr[i + 1] = '@@removeline';
  724. }
  725. }
  726. else if (signAlignPos == "=>") {
  727. if (str.indexOf(',') < 0) {
  728. arr[i] += arr[i + 1];
  729. arr[i + 1] = '@@removeline';
  730. }
  731. }
  732. }
  733. }
  734. if (str.indexOf("PORT MAP") >= 0) {
  735. back_tab = true;
  736. port_b = true;
  737. if (str.indexOf(");") < 0) {
  738. align_i1 = align_i;
  739. var t = str.indexOf("=>");
  740. if (t >= 0) {
  741. signAlignPos = "=>";
  742. }
  743. else {
  744. if (i + 1 < arr.length) {
  745. t = arr[i + 1].indexOf("=>");
  746. if (t >= 0) {
  747. signAlignPos = "=>";
  748. }
  749. }
  750. }
  751. }
  752. else {
  753. signAlignPos = "";
  754. }
  755. }
  756. else if (str.indexOf("GENERIC MAP") >= 0) {
  757. tab_n++;
  758. indent_start.push(tab_n);
  759. generic_map_b = true;
  760. if (!begin_b) {
  761. back_tab = false;
  762. }
  763. }
  764. else if (str.indexOf("PORT (") >= 0 && begin_b) {
  765. back_tab = true;
  766. port_b = true;
  767. t = str.indexOf(":");
  768. if (str.indexOf(");") < 0) {
  769. align_i1 = align_i;
  770. if (t >= 0) {
  771. signAlignPos = ":";
  772. }
  773. else {
  774. t = arr[i + 1].indexOf(":");
  775. if (t >= 0) {
  776. signAlignPos = ":";
  777. }
  778. }
  779. }
  780. else {
  781. signAlignPos = "";
  782. }
  783. }
  784. if (set_false) {
  785. procfun_b = false;
  786. set_false = false;
  787. }
  788. if (str.indexOf("(") >= 0) {
  789. if (str.indexOf("PROCEDURE") >= 0 || str.indexOf("FUNCTION") >= 0) {
  790. procfun_b = true;
  791. back_tab = true;
  792. }
  793. if ((str.indexOf("GENERIC") >= 0 || str.indexOf(":= (") >= 0 || str.regexIndexOf(/PROCEDURE[a-zA-Z0-9_ ]+\(/) >= 0) && begin_b) {
  794. port_b = true;
  795. back_tab = true;
  796. }
  797. }
  798. else if (first_word == "FUNCTION") {
  799. back_tab = true;
  800. begin_b = true;
  801. }
  802. if (str.indexOf("@@singleend") >= 0) {
  803. back_tab = false;
  804. port_b = false;
  805. if (!begin_b) {
  806. forward_tab = true;
  807. }
  808. }
  809. else if (str.indexOf("@@end") >= 0 && port_b) {
  810. port_b = false;
  811. indent_start.pop();
  812. tab_n = indent_start[indent_start.length - 1];
  813. if (entity_b) {
  814. forward_tab = true;
  815. }
  816. if (generic_map_b) {
  817. forward_tab = true;
  818. generic_map_b = false;
  819. }
  820. }
  821. if (settings.SignAlignAll) {
  822. var alignedSigns = [":", "<=", "=>"];
  823. for (var currentSign = 0; currentSign < alignedSigns.length; currentSign++) {
  824. if (str.indexOf(alignedSigns[currentSign]) > 0) {
  825. var char_before_sign = str.split(alignedSigns[currentSign])[0];
  826. var char_before_sign_length = char_before_sign.length;
  827. align_groups.push(char_before_sign_length);
  828. align_groups_max.push(char_before_sign_length);
  829. if (alignedSigns[currentSign] == lastAlignedSign) {
  830. if (align_groups_max[current_align_group - 1] < char_before_sign_length) {
  831. for (var k3 = aligned_group_starts; k3 <= current_align_group; k3++) {
  832. align_groups_max[k3] = char_before_sign_length;
  833. }
  834. }
  835. else {
  836. align_groups_max[current_align_group] = align_groups_max[current_align_group - 1];
  837. }
  838. }
  839. else {
  840. aligned_group_starts = current_align_group;
  841. }
  842. arr[i] = char_before_sign + "@@alignall" + (current_align_group++) + str.substring(char_before_sign.length, arr[i].length);
  843. lastAlignedSign = alignedSigns[currentSign];
  844. break;
  845. }
  846. }
  847. if (currentSign == alignedSigns.length) {
  848. lastAlignedSign = "";
  849. }
  850. }
  851. else if (settings.SignAlignRegional) {
  852. if (port_b && signAlignPos != "") {
  853. if (str.indexOf(signAlignPos) >= 0) {
  854. var a1 = arr[i].split(signAlignPos);
  855. var l1 = a1[0].length;
  856. if (align_i >= 0 && align_i > align_i1) {
  857. align_max[align_i] = align_max[align_i - 1];
  858. }
  859. else {
  860. align_max[align_i] = l1;
  861. }
  862. if (align_i > align_i1 && align_max[align_i] < l1) {
  863. for (var k3 = align_i1; k3 <= align_i; k3++) {
  864. align_max[k3] = l1;
  865. }
  866. }
  867. align[align_i] = l1;
  868. arr[i] = a1[0] + "@@align" + (align_i++) + signAlignPos + arr[i].substring(l1 + signAlignPos.length, arr[i].length);
  869. }
  870. }
  871. }
  872. tab_n = tab_n < 1 ? 1 : tab_n;
  873. if (str_len) {
  874. if (isTesting) {
  875. console.log(tab_n, arr[i], indent_start);
  876. }
  877. arr[i] = (Array(tab_n).join(settings.Indentation)) + arr[i]; //indent
  878. if (settings.NewLineSettings.newLineAfter.indexOf("port")) {
  879. if (str.indexOf('@@singleend') < 0) {
  880. arr[i] = arr[i].replace(/(PORT)([ \r\n\w]*)\(/, "$1$2\r\n" + (Array(tab_n).join(settings.Indentation)) + "(");
  881. }
  882. }
  883. if (settings.NewLineSettings.newLineAfter.indexOf("generic")) {
  884. if (str.indexOf('@@singleend') < 0) {
  885. arr[i] = arr[i].replace(/(GENERIC)([ \r\n\w]*)\(/, "$1$2\r\n" + (Array(tab_n).join(settings.Indentation)) + "(");
  886. }
  887. }
  888. }
  889. if (back_tab) {
  890. tab_n++;
  891. indent_start.push(tab_n);
  892. back_tab = false;
  893. }
  894. if (forward_tab) {
  895. tab_n = indent_start_last;
  896. indent_start.pop();
  897. forward_tab = false;
  898. }
  899. if (conditional_b && str.indexOf(";") >= 0) {
  900. conditional_b = false;
  901. white_space = "";
  902. }
  903. else if (str.indexOf(";") >= 0 && semi_b) {
  904. semi_b = false;
  905. tab_n = indent_start_last;
  906. indent_start.pop();
  907. }
  908. else if (!semi_b && str.indexOf(";") < 0 && !port_b) {
  909. if (!conditional_b) {
  910. if (str.indexOf("WHEN") > 3 && str.indexOf("<=") > 1) {
  911. conditional_b = true;
  912. white_space = (Array(str.indexOf("= ") + 3).join(" "));
  913. }
  914. else if (first_word == "WHEN" && i + 1 < arr.length && arr[i + 1].indexOf("WHEN") < 0) {
  915. tab_n = indent_start_last + 1;
  916. }
  917. else if (str.indexOf("=>") < 0 && ((str.indexOf(ILQuotesPrefix) >= 0 && str.indexOf("= " + ILQuotesPrefix) < 0 && str.indexOf("IF") < 0) || (str.indexOf("<=") > 0 && str.indexOf("IF") < 0 && str.indexOf("THEN") < 0))) {
  918. tab_n++;
  919. indent_start.push(tab_n);
  920. semi_b = true;
  921. }
  922. }
  923. }
  924. if (first_word == "ENTITY") {
  925. tab_n++;
  926. indent_start.push(tab_n);
  927. }
  928. else if (",RECORD,PACKAGE,FOR,COMPONENT,CONFIGURATION,".indexOf("," + first_word + ",") >= 0) {
  929. tab_n++;
  930. indent_start.push(tab_n);
  931. }
  932. else if (str.indexOf(": FOR ") >= 0) {
  933. tab_n++;
  934. indent_start.push(tab_n);
  935. }
  936. else if (first_word == "CASE" || str.indexOf(": CASE") >= 0) {
  937. tab_n++;
  938. indent_start.push(tab_n);
  939. case_indent[case_n] = tab_n;
  940. case_n++;
  941. }
  942. else if (first_word == "ARCHITECTURE") {
  943. tab_n++;
  944. indent_start.push(tab_n);
  945. begin_b = true;
  946. architecture_begin_b = true;
  947. }
  948. else if (first_word == "IF") {
  949. if_b++;
  950. tab_n++;
  951. indent_start.push(tab_n);
  952. if (str.indexOf(" THEN") < 0) {
  953. then_b = true;
  954. tab_n = indent_start_last;
  955. //indent_start.pop();
  956. }
  957. }
  958. if (procfun_b) {
  959. if (str.regexIndexOf(/(\))|(RETURN [A-Za-z0-9 ]+)[\r\n ]+IS/) >= 0) {
  960. tab_n = indent_start_last;
  961. indent_start.pop();
  962. set_false = true;
  963. }
  964. }
  965. }
  966. }
  967. input = arr.join("\r\n");
  968. input = input.replace(/[\t]*@@removeline\r\n/g, '');
  969. p = input.indexOf('PROCESS');
  970. while (p >= 0) {
  971. let nextBracket = input.indexOf('(', p);
  972. let nextNewLine = input.indexOf('\r\n', p);
  973. let nextCloseBracket = input.indexOf(')', nextBracket);
  974. if (nextBracket < nextNewLine && nextCloseBracket > nextNewLine) {
  975. let processArray = input.substring(p, nextCloseBracket).split('\r\n');
  976. if (settings.Indentation.replace(/[ ]+/g, '').length == 0) {
  977. for (var i = 1; i < processArray.length; i++) {
  978. processArray[i] = (Array(nextBracket - p + 2).join(' ')) + processArray[i];
  979. }
  980. }
  981. else {
  982. for (var i = 1; i < processArray.length; i++) {
  983. processArray[i] = settings.Indentation + processArray[i];
  984. }
  985. }
  986. input = input.substring(0, p) + processArray.join('\r\n') + input.substring(nextCloseBracket, input.length);
  987. p = input.regexIndexOf('PROCESS[ ]+\\(', nextCloseBracket);
  988. }
  989. else {
  990. p = input.indexOf('PROCESS[ ]+\\(', p + 7);
  991. }
  992. }
  993. input = SetKeywordCase(input, settings.KeywordCase, KeyWords, TypeNames);
  994. if (settings.SignAlignAll) {
  995. for (var k = 0; k < current_align_group; k++) {
  996. input = input.replace("@@alignall" + k, Array((align_groups_max[k] - align_groups[k] + 1)).join(" "));
  997. }
  998. }
  999. if (settings.SignAlignRegional) {
  1000. for (var k = 0; k < align_i; k++) {
  1001. input = input.replace("@@align" + k, Array((align_max[k] - align[k] + 2)).join(" "));
  1002. }
  1003. }
  1004. for (var k = 0; k < quotes.length; k++) {
  1005. input = input.replace(ILQuotesPrefix + k, quotes[k]);
  1006. }
  1007. input = input.replace(/@@singleline[ \r\n]*/, " ");
  1008. return input;
  1009. }
  1010. function ReserveSemicolonInKeywords(arr) {
  1011. for (let i = 0; i < arr.length; i++) {
  1012. if (arr[i].match(/FUNCTION|PROCEDURE/) != null) {
  1013. arr[i] = arr[i].replace(/;/g, '@@semicolon');
  1014. }
  1015. }
  1016. }
  1017. function ApplyNoNewLineAfter(arr, noNewLineAfter) {
  1018. if (noNewLineAfter == null) {
  1019. return;
  1020. }
  1021. for (let i = 0; i < arr.length; i++) {
  1022. noNewLineAfter.forEach(n => {
  1023. let regex = new RegExp("(" + n.toUpperCase + ")[ a-z0-9]+[a-z0-9]+");
  1024. if (arr[i].regexIndexOf(regex) >= 0) {
  1025. arr[i] += "@@singleline";
  1026. }
  1027. });
  1028. }
  1029. }
  1030. exports.ApplyNoNewLineAfter = ApplyNoNewLineAfter;
  1031. function RemoveAsserts(arr) {
  1032. let need_semi = false;
  1033. let inAssert = false;
  1034. let n = 0;
  1035. for (let i = 0; i < arr.length; i++) {
  1036. let has_semi = arr[i].indexOf(";") >= 0;
  1037. if (need_semi) {
  1038. arr[i] = '';
  1039. }
  1040. n = arr[i].indexOf("ASSERT ");
  1041. if (n >= 0) {
  1042. inAssert = true;
  1043. arr[i] = '';
  1044. }
  1045. if (!has_semi) {
  1046. if (inAssert) {
  1047. need_semi = true;
  1048. }
  1049. }
  1050. else {
  1051. need_semi = false;
  1052. }
  1053. }
  1054. }
  1055. exports.RemoveAsserts = RemoveAsserts;
  1056. function EscapeQuotes(arr) {
  1057. let quotes = [];
  1058. let quotesIndex = 0;
  1059. for (let i = 0; i < arr.length; i++) {
  1060. let quote = arr[i].match(/"([^"]+)"/g);
  1061. if (quote != null) {
  1062. for (var j = 0; j < quote.length; j++) {
  1063. arr[i] = arr[i].replace(quote[j], ILQuotesPrefix + quotesIndex);
  1064. quotes[quotesIndex++] = quote[j];
  1065. }
  1066. }
  1067. }
  1068. return quotes;
  1069. }
  1070. function RemoveExtraNewLines(input) {
  1071. input = input.replace(/(?:\r\n|\r|\n)/g, '\r\n');
  1072. input = input.replace(/ \r\n/g, '\r\n');
  1073. input = input.replace(/\r\n\r\n\r\n/g, '\r\n');
  1074. return input;
  1075. }
  1076. //# sourceMappingURL=VHDLFormatter.js.map