You can not select more than 25 topics Topics must start with a letter or number, can include dashes ('-') and can be up to 35 characters long.

1070 lines
41 KiB

6 years ago
6 years ago
6 years ago
6 years ago
6 years ago
6 years ago
6 years ago
6 years ago
6 years ago
6 years ago
6 years ago
6 years ago
6 years ago
6 years ago
6 years ago
6 years ago
6 years ago
6 years ago
6 years ago
6 years ago
6 years ago
6 years ago
6 years ago
6 years ago
6 years ago
6 years ago
6 years ago
6 years ago
6 years ago
6 years ago
6 years ago
6 years ago
6 years ago
6 years ago
6 years ago
6 years ago
6 years ago
6 years ago
6 years ago
6 years ago
6 years ago
6 years ago
6 years ago
6 years ago
6 years ago
6 years ago
6 years ago
6 years ago
6 years ago
6 years ago
6 years ago
6 years ago
6 years ago
6 years ago
6 years ago
6 years ago
6 years ago
6 years ago
6 years ago
6 years ago
6 years ago
6 years ago
6 years ago
6 years ago
6 years ago
6 years ago
6 years ago
6 years ago
6 years ago
6 years ago
6 years ago
6 years ago
6 years ago
6 years ago
6 years ago
6 years ago
6 years ago
6 years ago
6 years ago
  1. "use strict";
  2. Object.defineProperty(exports, "__esModule", { value: true });
  3. let isTesting = false;
  4. const ILCommentPrefix = "@@comments";
  5. const ILQuotesPrefix = "@@quotes";
  6. class NewLineSettings {
  7. constructor() {
  8. this.newLineAfter = [];
  9. this.noNewLineAfter = [];
  10. }
  11. newLineAfterPush(keyword) {
  12. this.newLineAfter.push(keyword);
  13. }
  14. noNewLineAfterPush(keyword) {
  15. this.noNewLineAfter.push(keyword);
  16. }
  17. push(keyword, addNewLine) {
  18. let str = addNewLine.toLowerCase();
  19. if (str.indexOf("none") >= 0) {
  20. return;
  21. }
  22. else if (str.indexOf("no") < 0) {
  23. this.newLineAfterPush(keyword);
  24. }
  25. else {
  26. this.noNewLineAfterPush(keyword);
  27. }
  28. }
  29. }
  30. exports.NewLineSettings = NewLineSettings;
  31. function ConstructNewLineSettings(dict) {
  32. let settings = new NewLineSettings();
  33. for (let key in dict) {
  34. settings.push(key, dict[key]);
  35. }
  36. return settings;
  37. }
  38. function fetchHeader(url, wch) {
  39. try {
  40. var req = new XMLHttpRequest();
  41. req.open("HEAD", url, false);
  42. req.send(null);
  43. if (req.status == 200) {
  44. return req.getResponseHeader(wch);
  45. }
  46. else
  47. return false;
  48. }
  49. catch (e) {
  50. return "";
  51. }
  52. }
  53. String.prototype.count = function (text) {
  54. return this.split(text).length - 1;
  55. };
  56. String.prototype.regexStartsWith = function (pattern) {
  57. var searchResult = this.search(pattern);
  58. return searchResult == 0;
  59. };
  60. String.prototype.regexIndexOf = function (pattern, startIndex) {
  61. startIndex = startIndex || 0;
  62. var searchResult = this.substr(startIndex).search(pattern);
  63. return (-1 === searchResult) ? -1 : searchResult + startIndex;
  64. };
  65. String.prototype.regexLastIndexOf = function (pattern, startIndex) {
  66. startIndex = startIndex === undefined ? this.length : startIndex;
  67. var searchResult = this.substr(0, startIndex).reverse().regexIndexOf(pattern, 0);
  68. return (-1 === searchResult) ? -1 : this.length - ++searchResult;
  69. };
  70. String.prototype.reverse = function () {
  71. return this.split('').reverse().join('');
  72. };
  73. function wordWrap() {
  74. var d = document.getElementById("result");
  75. if (d.className == "") {
  76. d.className = "wordwrap";
  77. }
  78. else {
  79. d.className = "";
  80. }
  81. }
  82. function getHTMLInputElement(name) {
  83. return document.getElementById(name);
  84. }
  85. function noFormat() {
  86. let elements = ["remove_comments",
  87. "remove_lines",
  88. "remove_report",
  89. "check_alias",
  90. "sign_align",
  91. "sign_align_all",
  92. "new_line_after_port",
  93. "new_line",
  94. "use_space",
  95. "compress",
  96. "mix_letter"];
  97. var t = !(getHTMLInputElement("remove_comments").disabled);
  98. elements.forEach(element => {
  99. getHTMLInputElement(element).disabled = t;
  100. });
  101. let keyword = document.getElementById("keyword");
  102. for (let i = 0; i < keyword.elements.length; i++) {
  103. keyword.elements[i].disabled = t;
  104. }
  105. }
  106. function indent_decode() {
  107. var custom_indent = getHTMLInputElement("cust_indent").value;
  108. var result = indentDecode(custom_indent);
  109. document.getElementById("indent_s").innerHTML = result;
  110. }
  111. function indentDecode(input) {
  112. input = input.replace(/\\t/g, " ");
  113. var count = [" & one ", " & two ", " & three ", " & four ", " & five ", " & six ", " & seven ", " & eight ", " & many "];
  114. var tokens = input.split("");
  115. var result = "";
  116. var repeatedCharCount = 0;
  117. for (var i = 0; i < tokens.length; i++) {
  118. var char = input.substr(i, 1);
  119. if (char == input.substr(i + 1, 1)) {
  120. repeatedCharCount++;
  121. }
  122. else {
  123. switch (char) {
  124. case " ":
  125. char = "blankspace";
  126. break;
  127. case "\t":
  128. char = "tab";
  129. }
  130. repeatedCharCount = repeatedCharCount > 8 ? 8 : repeatedCharCount;
  131. result += count[repeatedCharCount] + char;
  132. repeatedCharCount = 0;
  133. }
  134. }
  135. if (result.length < 0) {
  136. switch (char) {
  137. case " ":
  138. char = "blankspace";
  139. break;
  140. case "\t":
  141. char = "tab";
  142. }
  143. repeatedCharCount = repeatedCharCount > 8 ? 8 : repeatedCharCount;
  144. result = count[repeatedCharCount] + char;
  145. }
  146. result = result.replace(/^ & /, "");
  147. return result;
  148. }
  149. exports.indentDecode = indentDecode;
  150. function Compress(input) {
  151. input = input.replace(/\r\n/g, '');
  152. input = input.replace(/[\t ]+/g, ' ');
  153. input = input.replace(/[ ]?([&=:\-<>\+|])[ ]?/g, '$1');
  154. return input;
  155. }
  156. function MixLetters(input) {
  157. let arr = input.split("");
  158. for (var k = 0; k < arr.length; k++) {
  159. if (arr[k] === arr[k].toUpperCase() && Math.random() > 0.5) {
  160. arr[k] = arr[k].toLowerCase();
  161. }
  162. else if (Math.random() > 0.5) {
  163. arr[k] = arr[k].toUpperCase();
  164. }
  165. }
  166. return arr.join("");
  167. }
  168. function EscapeComments(arr, comments, commentIndex) {
  169. for (var i = 0; i < arr.length; i++) {
  170. let line = arr[i];
  171. var firstCharIndex = line.regexIndexOf(/[a-zA-Z0-9\(\&\)%_\+'"|]/);
  172. var commentStartIndex = line.indexOf("--");
  173. if (firstCharIndex < commentStartIndex && firstCharIndex >= 0) {
  174. comments.push(line.substr(commentStartIndex));
  175. arr[i] = line.substr(firstCharIndex, commentStartIndex - firstCharIndex) + ILCommentPrefix + (commentIndex++);
  176. }
  177. else if ((firstCharIndex > commentStartIndex && commentStartIndex >= 0) || (firstCharIndex < 0 && commentStartIndex >= 0)) {
  178. comments.push(line.substr(commentStartIndex));
  179. arr[i] = ILCommentPrefix + (commentIndex++);
  180. }
  181. else {
  182. firstCharIndex = firstCharIndex < 0 ? 0 : firstCharIndex;
  183. arr[i] = line.substr(firstCharIndex);
  184. }
  185. }
  186. return commentIndex;
  187. }
  188. function ToLowerCases(arr) {
  189. for (var i = 0; i < arr.length; i++) {
  190. arr[i] = arr[i].toLowerCase();
  191. }
  192. }
  193. function ToCamelCases(arr) {
  194. for (var i = 0; i < arr.length; i++) {
  195. arr[i] = arr[i].charAt(0) + arr[i].slice(1).toLowerCase();
  196. }
  197. }
  198. function ReplaceKeyWords(text, keywords) {
  199. for (var k = 0; k < keywords.length; k++) {
  200. text = text.replace(new RegExp("([^a-zA-Z0-9_@]|^)" + keywords[k] + "([^a-zA-Z0-9_]|$)", 'gi'), "$1" + keywords[k] + "$2");
  201. }
  202. return text;
  203. }
  204. function SetKeywordCase(input, keywordcase, keywords, typenames) {
  205. let inputcase = keywordcase.toLowerCase();
  206. if (inputcase == "lowercase") {
  207. ToLowerCases(keywords);
  208. ToLowerCases(typenames);
  209. }
  210. else if (inputcase == "defaultcase") {
  211. ToCamelCases(keywords);
  212. ToCamelCases(typenames);
  213. }
  214. if (inputcase != "uppercase") {
  215. input = ReplaceKeyWords(input, keywords);
  216. input = ReplaceKeyWords(input, typenames);
  217. }
  218. return input;
  219. }
  220. function SetNewLinesAfterSymbols(text, newLineSettings) {
  221. if (newLineSettings == null) {
  222. return text;
  223. }
  224. if (newLineSettings.newLineAfter != null) {
  225. newLineSettings.newLineAfter.forEach(symbol => {
  226. let regex = new RegExp("(" + symbol.toUpperCase() + ")[ ]?([^ \r\n@])", "g");
  227. text = text.replace(regex, '$1\r\n$2');
  228. });
  229. }
  230. if (newLineSettings.noNewLineAfter != null) {
  231. newLineSettings.noNewLineAfter.forEach(symbol => {
  232. let regex = new RegExp("(" + symbol.toUpperCase() + ")[ \r\n]+([^@])", "g");
  233. text = text.replace(regex, '$1 $2');
  234. });
  235. }
  236. return text;
  237. }
  238. exports.SetNewLinesAfterSymbols = SetNewLinesAfterSymbols;
  239. class BeautifierSettings {
  240. constructor(removeComments, removeReport, checkAlias, signAlign, signAlignAll, keywordCase, indentation, newLineSettings) {
  241. this.RemoveComments = removeComments;
  242. this.RemoveAsserts = removeReport;
  243. this.CheckAlias = checkAlias;
  244. this.SignAlignRegional = signAlign;
  245. this.SignAlignAll = signAlignAll;
  246. this.KeywordCase = keywordCase;
  247. this.Indentation = indentation;
  248. this.NewLineSettings = newLineSettings;
  249. }
  250. }
  251. exports.BeautifierSettings = BeautifierSettings;
  252. let KeyWords = ["ABS", "ACCESS", "AFTER", "ALIAS", "ALL", "AND", "ARCHITECTURE", "ARRAY", "ASSERT", "ATTRIBUTE", "BEGIN", "BLOCK", "BODY", "BUFFER", "BUS", "CASE", "COMPONENT", "CONFIGURATION", "CONSTANT", "CONTEXT", "COVER", "DISCONNECT", "DOWNTO", "DEFAULT", "ELSE", "ELSIF", "END", "ENTITY", "EXIT", "FAIRNESS", "FILE", "FOR", "FORCE", "FUNCTION", "GENERATE", "GENERIC", "GROUP", "GUARDED", "IF", "IMPURE", "IN", "INERTIAL", "INOUT", "IS", "LABEL", "LIBRARY", "LINKAGE", "LITERAL", "LOOP", "MAP", "MOD", "NAND", "NEW", "NEXT", "NOR", "NOT", "NULL", "OF", "ON", "OPEN", "OR", "OTHERS", "OUT", "PACKAGE", "PORT", "POSTPONED", "PROCEDURE", "PROCESS", "PROPERTY", "PROTECTED", "PURE", "RANGE", "RECORD", "REGISTER", "REJECT", "RELEASE", "REM", "REPORT", "RESTRICT", "RESTRICT_GUARANTEE", "RETURN", "ROL", "ROR", "SELECT", "SEQUENCE", "SEVERITY", "SHARED", "SIGNAL", "SLA", "SLL", "SRA", "SRL", "STRONG", "SUBTYPE", "THEN", "TO", "TRANSPORT", "TYPE", "UNAFFECTED", "UNITS", "UNTIL", "USE", "VARIABLE", "VMODE", "VPROP", "VUNIT", "WAIT", "WHEN", "WHILE", "WITH", "XNOR", "XOR"];
  253. let TypeNames = ["BOOLEAN", "BIT", "CHARACTER", "INTEGER", "TIME", "NATURAL", "POSITIVE", "STRING"];
  254. function beautify(input, settings) {
  255. input = input.replace(/\r\n/g, "\n");
  256. input = input.replace(/\n/g, "\r\n");
  257. var arr = input.split("\r\n");
  258. var comments = [], commentsIndex = 0;
  259. commentsIndex = EscapeComments(arr, comments, commentsIndex);
  260. input = arr.join("\r\n");
  261. if (settings.RemoveComments) {
  262. input = input.replace(/\r\n[ \t]*@@comments[0-9]+[ \t]*\r\n/g, '\r\n');
  263. input = input.replace(/@@comments[0-9]+/g, '');
  264. commentsIndex = 0;
  265. }
  266. input = RemoveExtraNewLines(input);
  267. input = input.replace(/[\t ]+/g, ' ');
  268. input = input.replace(/\([\t ]+/g, '\(');
  269. input = input.replace(/[ ]+;/g, ';');
  270. input = input.replace(/:[ ]*(PROCESS|ENTITY)/gi, ':$1');
  271. input = ReplaceKeyWords(input, KeyWords);
  272. input = ReplaceKeyWords(input, TypeNames);
  273. arr = input.split("\r\n");
  274. ReserveSemicolonInKeywords(arr);
  275. input = arr.join("\r\n");
  276. input = input.replace(/(PORT|PROCESS|GENERIC)[\s]*\(/g, '$1 (');
  277. input = SetNewLinesAfterSymbols(input, settings.NewLineSettings);
  278. arr = input.split("\r\n");
  279. let quotes = EscapeQuotes(arr);
  280. if (settings.RemoveAsserts) {
  281. RemoveAsserts(arr); //RemoveAsserts must be after EscapeQuotes
  282. }
  283. ApplyNoNewLineAfter(arr, settings.NewLineSettings.noNewLineAfter);
  284. input = arr.join("\r\n");
  285. //input = beautify2(input, settings);
  286. //new
  287. input = input.replace(/([a-zA-Z0-9\); ])\);(@@comments[0-9]+)?@@end/g, '$1\r\n);$2@@end');
  288. input = input.replace(/[ ]?([&=:\-<>\+|\*])[ ]?/g, ' $1 ');
  289. input = input.replace(/[ ]?([,])[ ]?/g, '$1 ');
  290. input = input.replace(/[ ]?(['"])(THEN)/g, '$1 $2');
  291. input = input.replace(/[ ]?(\?)?[ ]?(<|:|>|\/)?[ ]+(=)?[ ]?/g, ' $1$2$3 ');
  292. input = input.replace(/(IF)[ ]?([\(\)])/g, '$1 $2');
  293. input = input.replace(/([\(\)])[ ]?(THEN)/gi, '$1 $2');
  294. input = input.replace(/(^|[\(\)])[ ]?(AND|OR|XOR|XNOR)[ ]*([\(])/g, '$1 $2 $3');
  295. input = input.replace(/ ([\-\*\/=+<>])[ ]*([\-\*\/=+<>]) /g, " $1$2 ");
  296. //input = input.replace(/\r\n[ \t]+--\r\n/g, "\r\n");
  297. input = input.replace(/[ ]+/g, ' ');
  298. input = input.replace(/[ \t]+\r\n/g, "\r\n");
  299. input = input.replace(/\r\n\r\n\r\n/g, '\r\n');
  300. input = input.replace(/[\r\n\s]+$/g, '');
  301. input = input.replace(/[ \t]+\)/g, ')');
  302. arr = input.split("\r\n");
  303. let result = [];
  304. beautify3(arr, result, settings, 0, 0);
  305. arr = FormattedLineToString(result, settings.Indentation);
  306. input = arr.join("\r\n");
  307. for (var k = 0; k < quotes.length; k++) {
  308. input = input.replace(ILQuotesPrefix + k, quotes[k]);
  309. }
  310. for (var k = 0; k < commentsIndex; k++) {
  311. input = input.replace(ILCommentPrefix + k, comments[k]);
  312. }
  313. input = input.replace(/@@semicolon/g, ";");
  314. input = input.replace(/@@[a-z]+/g, "");
  315. return input;
  316. }
  317. exports.beautify = beautify;
  318. class FormattedLine {
  319. constructor(line, indent) {
  320. this.Line = line;
  321. this.Indent = indent;
  322. }
  323. }
  324. exports.FormattedLine = FormattedLine;
  325. function FormattedLineToString(arr, indentation) {
  326. let result = [];
  327. if (arr == null) {
  328. return result;
  329. }
  330. arr.forEach(i => {
  331. if (i instanceof FormattedLine) {
  332. if (i.Line.length > 0) {
  333. result.push((Array(i.Indent + 1).join(indentation)) + i.Line);
  334. }
  335. else {
  336. result.push("");
  337. }
  338. }
  339. else {
  340. result = result.concat(FormattedLineToString(i, indentation));
  341. }
  342. });
  343. return result;
  344. }
  345. exports.FormattedLineToString = FormattedLineToString;
  346. function GetCloseparentheseEndIndex(inputs, startIndex) {
  347. let openParentheseCount = 0;
  348. let closeParentheseCount = 0;
  349. for (let i = startIndex; i < inputs.length; i++) {
  350. let input = inputs[i];
  351. openParentheseCount += input.count("(");
  352. closeParentheseCount += input.count(")");
  353. if (openParentheseCount > 0
  354. && openParentheseCount <= closeParentheseCount) {
  355. return i;
  356. }
  357. }
  358. return startIndex;
  359. }
  360. function beautifyPortGenericBlock(inputs, result, settings, startIndex, indent, mode) {
  361. let firstLine = inputs[startIndex];
  362. let regex = new RegExp("[\\w\\s:]*(" + mode + ")([\\s]|$)");
  363. if (!firstLine.regexStartsWith(regex)) {
  364. return startIndex;
  365. }
  366. let firstLineHasParenthese = firstLine.indexOf("(") >= 0;
  367. let hasParenthese = firstLineHasParenthese;
  368. let blockBodyStartIndex = startIndex;
  369. let secondLineHasParenthese = inputs[startIndex + 1].startsWith("(");
  370. if (secondLineHasParenthese) {
  371. hasParenthese = true;
  372. blockBodyStartIndex++;
  373. }
  374. let endIndex = hasParenthese ? GetCloseparentheseEndIndex(inputs, startIndex) : startIndex;
  375. if (endIndex != startIndex && firstLineHasParenthese) {
  376. inputs[startIndex] = inputs[startIndex].replace(/(PORT|GENERIC|PROCEDURE)([\w ]+)\(([\w\(\) ]+)/, '$1$2(\r\n$3');
  377. let newInputs = inputs[startIndex].split("\r\n");
  378. if (newInputs.length == 2) {
  379. inputs[startIndex] = newInputs[0];
  380. inputs.splice(startIndex + 1, 0, newInputs[1]);
  381. endIndex++;
  382. }
  383. }
  384. else if (endIndex != startIndex && secondLineHasParenthese) {
  385. inputs[startIndex + 1] = inputs[startIndex + 1].replace(/\(([\w\(\) ]+)/, '(\r\n$1');
  386. let newInputs = inputs[startIndex + 1].split("\r\n");
  387. if (newInputs.length == 2) {
  388. inputs[startIndex + 1] = newInputs[0];
  389. inputs.splice(startIndex + 2, 0, newInputs[1]);
  390. endIndex++;
  391. }
  392. }
  393. if (firstLineHasParenthese && inputs[startIndex].indexOf("MAP") > 0) {
  394. inputs[startIndex] = inputs[startIndex].replace(/([^\w])(MAP)\s+\(/g, '$1$2(');
  395. }
  396. result.push(new FormattedLine(inputs[startIndex], indent));
  397. if (secondLineHasParenthese) {
  398. result.push(new FormattedLine(inputs[startIndex + 1], indent));
  399. }
  400. let blockBodyEndIndex = endIndex;
  401. let i = beautify3(inputs, result, settings, blockBodyStartIndex + 1, indent + 1, endIndex);
  402. if (inputs[i].startsWith(")")) {
  403. result[i].Indent--;
  404. blockBodyEndIndex--;
  405. }
  406. if (settings.SignAlignRegional) {
  407. blockBodyStartIndex++;
  408. SignsAlignRegional(result, blockBodyStartIndex, blockBodyEndIndex);
  409. }
  410. return i;
  411. }
  412. exports.beautifyPortGenericBlock = beautifyPortGenericBlock;
  413. function SignsAlignRegional(result, startIndex, endIndex) {
  414. SignAlignRegional(result, startIndex, endIndex, ":");
  415. SignAlignRegional(result, startIndex, endIndex, ":=");
  416. SignAlignRegional(result, startIndex, endIndex, "=>");
  417. }
  418. exports.SignsAlignRegional = SignsAlignRegional;
  419. function SignAlignRegional(result, startIndex, endIndex, symbol) {
  420. let maxSymbolIndex = -1;
  421. let allSymbolIndex = {};
  422. for (let i = startIndex; i <= endIndex; i++) {
  423. let line = result[i].Line;
  424. let regex = new RegExp("([\\s\\w]|^)" + symbol + "([\\s\\w]|$)");
  425. let colonIndex = line.regexIndexOf(regex);
  426. if (colonIndex > 0) {
  427. maxSymbolIndex = Math.max(maxSymbolIndex, colonIndex);
  428. allSymbolIndex[i] = colonIndex;
  429. }
  430. }
  431. if (maxSymbolIndex < 0) {
  432. return;
  433. }
  434. for (let lineIndex in allSymbolIndex) {
  435. let symbolIndex = allSymbolIndex[lineIndex];
  436. if (symbolIndex == maxSymbolIndex) {
  437. continue;
  438. }
  439. let line = result[lineIndex].Line;
  440. result[lineIndex].Line = line.substring(0, symbolIndex)
  441. + (Array(maxSymbolIndex - symbolIndex + 1).join(" "))
  442. + line.substring(symbolIndex);
  443. }
  444. }
  445. exports.SignAlignRegional = SignAlignRegional;
  446. function beautifyCaseBlock(inputs, result, settings, startIndex, indent) {
  447. if (!inputs[startIndex].regexStartsWith(/(.+:\s*)?(CASE)([\s]|$)/)) {
  448. return startIndex;
  449. }
  450. result.push(new FormattedLine(inputs[startIndex], indent));
  451. let i = beautify3(inputs, result, settings, startIndex + 1, indent + 2);
  452. result[i].Indent = indent;
  453. return i;
  454. }
  455. exports.beautifyCaseBlock = beautifyCaseBlock;
  456. function beautify3(inputs, result, settings, startIndex, indent, endIndex) {
  457. let i;
  458. let regexOneLineBlockKeyWords = new RegExp(/(PROCEDURE|FUNCTION|IMPURE FUNCTION)[^\w](?!.+[^\w]IS([^\w]|$))/); //match PROCEDURE..; but not PROCEDURE .. IS;
  459. let blockMidKeyWords = ["ELSE", "ELSIF", "WHEN", "BEGIN"];
  460. let blockStartsKeyWords = [
  461. "IF",
  462. "CASE",
  463. "ARCHITECTURE",
  464. "PROCEDURE",
  465. "PACKAGE",
  466. "PROCESS",
  467. "POSTPONED PROCESS",
  468. "([\\w\\s]+:\\s*PROCESS)",
  469. "FUNCTION",
  470. "IMPURE FUNCTION",
  471. "(.+\\sPROTECTED)",
  472. "COMPONENT",
  473. "ENTITY"
  474. ];
  475. let blockEndsKeyWords = ["END"];
  476. let newLineAfterKeyWordsStr = blockStartsKeyWords.join("|");
  477. let blockEndKeyWordsStr = blockEndsKeyWords.join("|");
  478. let blockMidKeyWordsStr = blockMidKeyWords.join("|");
  479. let regexBlockMidKeyWords = new RegExp("(" + blockMidKeyWordsStr + ")([^\\w]|$)");
  480. let regexBlockStartsKeywords = new RegExp("(" + newLineAfterKeyWordsStr + ")([^\\w]|$)");
  481. let regexBlockEndsKeyWords = new RegExp("(" + blockEndKeyWordsStr + ")([^\\w]|$)");
  482. if (endIndex == null) {
  483. endIndex = inputs.length - 1;
  484. }
  485. for (i = startIndex; i <= endIndex; i++) {
  486. let input = inputs[i].trim();
  487. if (input.regexStartsWith(/(.+:\s*)?(CASE)([\s]|$)/)) {
  488. i = beautifyCaseBlock(inputs, result, settings, i, indent);
  489. continue;
  490. }
  491. if (input.regexStartsWith(/[\w\s:]*PORT([\s]|$)/)) {
  492. i = beautifyPortGenericBlock(inputs, result, settings, i, indent, "PORT");
  493. continue;
  494. }
  495. if (input.regexStartsWith(/[\w\s:]*GENERIC([\s]|$)/)) {
  496. i = beautifyPortGenericBlock(inputs, result, settings, i, indent, "GENERIC");
  497. continue;
  498. }
  499. result.push(new FormattedLine(input, indent));
  500. if (startIndex != 0
  501. && (input.regexStartsWith(regexBlockMidKeyWords))) {
  502. result[i].Indent--;
  503. }
  504. else if (startIndex != 0
  505. && (input.regexStartsWith(regexBlockEndsKeyWords))) {
  506. result[i].Indent--;
  507. return i;
  508. }
  509. if (input.regexStartsWith(regexOneLineBlockKeyWords)) {
  510. continue;
  511. }
  512. if (input.regexStartsWith(regexBlockStartsKeywords)) {
  513. i = beautify3(inputs, result, settings, i + 1, indent + 1);
  514. }
  515. }
  516. i--;
  517. return i;
  518. }
  519. exports.beautify3 = beautify3;
  520. function beautify2(input, settings) {
  521. let arr = input.split("\r\n");
  522. let quotes = EscapeQuotes(arr);
  523. if (settings.RemoveAsserts) {
  524. RemoveAsserts(arr); //RemoveAsserts must be after EscapeQuotes
  525. }
  526. ApplyNoNewLineAfter(arr, settings.NewLineSettings.noNewLineAfter);
  527. var align = [], align_max = [], align_i1 = 0, align_i = 0;
  528. var str = "", str1 = "";
  529. var p = 0;
  530. var n = 0, j = 0;
  531. var tab_n = 0, str_len = 0, port_s = "";
  532. var back_tab = false, forward_tab = false, semi_pos = 0, begin_b = true, port_b = false;
  533. var before_begin = true;
  534. var l = arr.length;
  535. for (i = 0; i < l; i++) {
  536. if (arr[i].indexOf("BEGIN") >= 0) {
  537. before_begin = false;
  538. }
  539. if (port_s) {
  540. port_s += arr[i];
  541. var k_port = port_s.split("(").length;
  542. if (k_port == port_s.split(")").length) {
  543. arr[i] = arr[i] + "@@end";
  544. port_s = "";
  545. port_b = false;
  546. }
  547. }
  548. if ((!port_b && arr[i].regexIndexOf(/(\s|\(|^)(PORT|GENERIC|PROCESS|PROCEDURE)(\s|\(|$)/) >= 0)
  549. || (arr[i].regexIndexOf(/:[ ]?=[ ]?\(/) >= 0 && before_begin)) {
  550. port_b = true;
  551. port_s = arr[i];
  552. var k_port = port_s.split("(").length;
  553. if (k_port == 1) {
  554. port_b = false;
  555. port_s = "";
  556. }
  557. else if (k_port == port_s.split(")").length) {
  558. port_s = "";
  559. port_b = false;
  560. arr[i] = arr[i] + "@@singleend";
  561. }
  562. else {
  563. arr[i] = arr[i].replace(/(PORT|GENERIC|PROCEDURE)([a-z0-9A-Z_ ]+)\(([a-zA-Z0-9_\(\) ]+)/, '$1$2(\r\n$3');
  564. }
  565. }
  566. }
  567. input = arr.join("\r\n");
  568. input = input.replace(/([a-zA-Z0-9\); ])\);(@@comments[0-9]+)?@@end/g, '$1\r\n);$2@@end');
  569. input = input.replace(/[ ]?([&=:\-<>\+|\*])[ ]?/g, ' $1 ');
  570. input = input.replace(/[ ]?([,])[ ]?/g, '$1 ');
  571. input = input.replace(/[ ]?(['"])(THEN)/g, '$1 $2');
  572. input = input.replace(/[ ]?(\?)?[ ]?(<|:|>|\/)?[ ]+(=)?[ ]?/g, ' $1$2$3 ');
  573. input = input.replace(/(IF)[ ]?([\(\)])/g, '$1 $2');
  574. input = input.replace(/([\(\)])[ ]?(THEN)/gi, '$1 $2');
  575. input = input.replace(/(^|[\(\)])[ ]?(AND|OR|XOR|XNOR)[ ]*([\(])/g, '$1 $2 $3');
  576. input = input.replace(/ ([\-\*\/=+<>])[ ]*([\-\*\/=+<>]) /g, " $1$2 ");
  577. input = input.replace(/\r\n[ \t]+--\r\n/g, "\r\n");
  578. input = input.replace(/[ ]+/g, ' ');
  579. input = input.replace(/\r\n\r\n\r\n/g, '\r\n');
  580. input = input.replace(/[\r\n\s]+$/g, '');
  581. input = input.replace(/[ \t]+\)/g, ')');
  582. var matches = input.match(/'([a-zA-Z]+)\s/g);
  583. if (matches != null) {
  584. for (var k2 = 0; k2 < matches.length; k2++) {
  585. input = input.replace(matches[k2], matches[k2].toUpperCase());
  586. }
  587. }
  588. input = input.replace(/(MAP)[ \r\n]+\(/g, '$1(');
  589. //input = input.replace(/(;|THEN)[ ]?(@@comments[0-9]+)([a-zA-Z])/g, '$1 $2\r\n$3');
  590. input = input.replace(/[\r\n ]+RETURN/g, ' RETURN');
  591. input = input.replace(/BEGIN[\r\n ]+/g, 'BEGIN\r\n');
  592. input = input.replace(/ (PORT|GENERIC) /g, '\r\n$1 ');
  593. if (settings.CheckAlias) {
  594. var alias = [], subarr = [], o = 0, p = 0, p2 = 0, l2 = 0, i2 = 0;
  595. arr = input.split("ARCHITECTURE ");
  596. l = arr.length;
  597. for (i = 0; i < l; i++) {
  598. subarr = arr[i].split("ALIAS ");
  599. l2 = subarr.length;
  600. if (l2 > 1) {
  601. o = 0;
  602. for (i2 = 1; i2 < l2; i2++) {
  603. o = subarr[i2].indexOf(";", n);
  604. str = subarr[i2].substring(0, o);
  605. alias[p2++] = str.split(" IS ");
  606. }
  607. i2--;
  608. var str2 = subarr[i2].substr(o);
  609. for (p = 0; p < p2; p++) {
  610. var reg = new RegExp(alias[p][1], 'gi');
  611. str2 = str2.replace(reg, alias[p][0]);
  612. }
  613. subarr[i2] = subarr[i2].substring(0, o) + str2;
  614. }
  615. arr[i] = subarr.join("ALIAS ");
  616. }
  617. input = arr.join("ARCHITECTURE ");
  618. }
  619. arr = input.split("\r\n");
  620. l = arr.length;
  621. var signAlignPos = "";
  622. var if_b = 0, white_space = "", case_b = false, case_n = 0, procfun_b = false, semi_b = false, set_false = false, entity_b = false, then_b = false, conditional_b = false, generic_map_b = false, architecture_begin_b = false, process_begin_b = false, case_indent = [0, 0, 0, 0, 0, 0, 0];
  623. var align_groups = [], align_groups_max = [], lastAlignedSign = "", current_align_group = 0, aligned_group_starts = 0;
  624. var indent_start = [];
  625. for (i = 0; i < l; i++) {
  626. str = arr[i];
  627. str_len = str.length;
  628. if (str.replace(/[ \-\t]*/, "").length > 0) {
  629. var first_word = str.split(/[^\w]/)[0];
  630. var indent_start_last = indent_start.length == 0 ? 0 : indent_start[indent_start.length - 1];
  631. if (then_b) {
  632. arr[i] = " " + arr[i];
  633. if (str.indexOf(" THEN") >= 0) {
  634. then_b = false;
  635. back_tab = true;
  636. }
  637. }
  638. arr[i] = white_space + arr[i];
  639. if (first_word == "ELSIF") {
  640. tab_n = indent_start_last - 1;
  641. indent_start.pop();
  642. back_tab = true;
  643. }
  644. else if (str.indexOf("END CASE") == 0) {
  645. indent_start.pop();
  646. case_n--;
  647. tab_n = indent_start[indent_start.length - 1];
  648. }
  649. else if (first_word == "END") {
  650. tab_n = indent_start_last - 1;
  651. indent_start.pop();
  652. if (str.indexOf("END IF") == 0) {
  653. if_b--;
  654. }
  655. if (i == l - 1) {
  656. tab_n = 1;
  657. }
  658. }
  659. else if (first_word == "ELSE" && if_b) {
  660. tab_n = indent_start_last - 1;
  661. indent_start.pop();
  662. back_tab = true;
  663. }
  664. else if (case_n) {
  665. if (first_word == "WHEN") {
  666. tab_n = case_indent[case_n - 1];
  667. //back_tab = true;
  668. }
  669. }
  670. else if (first_word == "BEGIN") {
  671. if (begin_b) {
  672. if (architecture_begin_b) {
  673. tab_n = indent_start_last - 1;
  674. architecture_begin_b = false;
  675. }
  676. else if (process_begin_b) {
  677. tab_n = indent_start_last - 1;
  678. process_begin_b = false;
  679. }
  680. else {
  681. tab_n = indent_start_last;
  682. indent_start.push(tab_n + 1);
  683. }
  684. //indent_start.pop();
  685. back_tab = true;
  686. begin_b = false;
  687. if (procfun_b) {
  688. tab_n++;
  689. indent_start.push(tab_n);
  690. begin_b = true;
  691. }
  692. }
  693. else {
  694. back_tab = true;
  695. }
  696. }
  697. else if (first_word == "PROCESS") {
  698. begin_b = true;
  699. }
  700. else if (str.indexOf(": PROCESS") >= 0) {
  701. back_tab = true;
  702. begin_b = true;
  703. process_begin_b = true;
  704. }
  705. else if (str.indexOf(": ENTITY") >= 0) {
  706. back_tab = true;
  707. entity_b = true;
  708. }
  709. else if (str.indexOf("PROCEDURE ") >= 0) {
  710. back_tab = true;
  711. begin_b = true;
  712. }
  713. if (port_b && str.indexOf("@@") < 0) {
  714. if (i + 1 <= arr.length - 1 && arr[i + 1].indexOf("@@") < 0) {
  715. if (signAlignPos == ":") {
  716. if (str.indexOf(';') < 0) {
  717. arr[i] += arr[i + 1];
  718. arr[i + 1] = '@@removeline';
  719. }
  720. }
  721. else if (signAlignPos == "=>") {
  722. if (str.indexOf(',') < 0) {
  723. arr[i] += arr[i + 1];
  724. arr[i + 1] = '@@removeline';
  725. }
  726. }
  727. }
  728. }
  729. if (str.indexOf("PORT MAP") >= 0) {
  730. back_tab = true;
  731. port_b = true;
  732. if (str.indexOf(");") < 0) {
  733. align_i1 = align_i;
  734. var t = str.indexOf("=>");
  735. if (t >= 0) {
  736. signAlignPos = "=>";
  737. }
  738. else {
  739. if (i + 1 < arr.length) {
  740. t = arr[i + 1].indexOf("=>");
  741. if (t >= 0) {
  742. signAlignPos = "=>";
  743. }
  744. }
  745. }
  746. }
  747. else {
  748. signAlignPos = "";
  749. }
  750. }
  751. else if (str.indexOf("GENERIC MAP") >= 0) {
  752. tab_n++;
  753. indent_start.push(tab_n);
  754. generic_map_b = true;
  755. if (!begin_b) {
  756. back_tab = false;
  757. }
  758. }
  759. else if (str.indexOf("PORT (") >= 0 && begin_b) {
  760. back_tab = true;
  761. port_b = true;
  762. t = str.indexOf(":");
  763. if (str.indexOf(");") < 0) {
  764. align_i1 = align_i;
  765. if (t >= 0) {
  766. signAlignPos = ":";
  767. }
  768. else {
  769. t = arr[i + 1].indexOf(":");
  770. if (t >= 0) {
  771. signAlignPos = ":";
  772. }
  773. }
  774. }
  775. else {
  776. signAlignPos = "";
  777. }
  778. }
  779. if (set_false) {
  780. procfun_b = false;
  781. set_false = false;
  782. }
  783. if (str.indexOf("(") >= 0) {
  784. if (str.indexOf("PROCEDURE") >= 0 || str.indexOf("FUNCTION") >= 0) {
  785. procfun_b = true;
  786. back_tab = true;
  787. }
  788. if ((str.indexOf("GENERIC") >= 0 || str.indexOf(":= (") >= 0 || str.regexIndexOf(/PROCEDURE[a-zA-Z0-9_ ]+\(/) >= 0) && begin_b) {
  789. port_b = true;
  790. back_tab = true;
  791. }
  792. }
  793. else if (first_word == "FUNCTION") {
  794. back_tab = true;
  795. begin_b = true;
  796. }
  797. if (str.indexOf("@@singleend") >= 0) {
  798. back_tab = false;
  799. port_b = false;
  800. if (!begin_b) {
  801. forward_tab = true;
  802. }
  803. }
  804. else if (str.indexOf("@@end") >= 0 && port_b) {
  805. port_b = false;
  806. indent_start.pop();
  807. tab_n = indent_start[indent_start.length - 1];
  808. if (entity_b) {
  809. forward_tab = true;
  810. }
  811. if (generic_map_b) {
  812. forward_tab = true;
  813. generic_map_b = false;
  814. }
  815. }
  816. if (settings.SignAlignAll) {
  817. var alignedSigns = [":", "<=", "=>"];
  818. for (var currentSign = 0; currentSign < alignedSigns.length; currentSign++) {
  819. if (str.indexOf(alignedSigns[currentSign]) > 0) {
  820. var char_before_sign = str.split(alignedSigns[currentSign])[0];
  821. var char_before_sign_length = char_before_sign.length;
  822. align_groups.push(char_before_sign_length);
  823. align_groups_max.push(char_before_sign_length);
  824. if (alignedSigns[currentSign] == lastAlignedSign) {
  825. if (align_groups_max[current_align_group - 1] < char_before_sign_length) {
  826. for (var k3 = aligned_group_starts; k3 <= current_align_group; k3++) {
  827. align_groups_max[k3] = char_before_sign_length;
  828. }
  829. }
  830. else {
  831. align_groups_max[current_align_group] = align_groups_max[current_align_group - 1];
  832. }
  833. }
  834. else {
  835. aligned_group_starts = current_align_group;
  836. }
  837. arr[i] = char_before_sign + "@@alignall" + (current_align_group++) + str.substring(char_before_sign.length, arr[i].length);
  838. lastAlignedSign = alignedSigns[currentSign];
  839. break;
  840. }
  841. }
  842. if (currentSign == alignedSigns.length) {
  843. lastAlignedSign = "";
  844. }
  845. }
  846. else if (settings.SignAlignRegional) {
  847. if (port_b && signAlignPos != "") {
  848. if (str.indexOf(signAlignPos) >= 0) {
  849. var a1 = arr[i].split(signAlignPos);
  850. var l1 = a1[0].length;
  851. if (align_i >= 0 && align_i > align_i1) {
  852. align_max[align_i] = align_max[align_i - 1];
  853. }
  854. else {
  855. align_max[align_i] = l1;
  856. }
  857. if (align_i > align_i1 && align_max[align_i] < l1) {
  858. for (var k3 = align_i1; k3 <= align_i; k3++) {
  859. align_max[k3] = l1;
  860. }
  861. }
  862. align[align_i] = l1;
  863. arr[i] = a1[0] + "@@align" + (align_i++) + signAlignPos + arr[i].substring(l1 + signAlignPos.length, arr[i].length);
  864. }
  865. }
  866. }
  867. tab_n = tab_n < 1 ? 1 : tab_n;
  868. if (str_len) {
  869. if (isTesting) {
  870. console.log(tab_n, arr[i], indent_start);
  871. }
  872. arr[i] = (Array(tab_n).join(settings.Indentation)) + arr[i]; //indent
  873. if (settings.NewLineSettings.newLineAfter.indexOf("port")) {
  874. if (str.indexOf('@@singleend') < 0) {
  875. arr[i] = arr[i].replace(/(PORT)([ \r\n\w]*)\(/, "$1$2\r\n" + (Array(tab_n).join(settings.Indentation)) + "(");
  876. }
  877. }
  878. if (settings.NewLineSettings.newLineAfter.indexOf("generic")) {
  879. if (str.indexOf('@@singleend') < 0) {
  880. arr[i] = arr[i].replace(/(GENERIC)([ \r\n\w]*)\(/, "$1$2\r\n" + (Array(tab_n).join(settings.Indentation)) + "(");
  881. }
  882. }
  883. }
  884. if (back_tab) {
  885. tab_n++;
  886. indent_start.push(tab_n);
  887. back_tab = false;
  888. }
  889. if (forward_tab) {
  890. tab_n = indent_start_last;
  891. indent_start.pop();
  892. forward_tab = false;
  893. }
  894. if (conditional_b && str.indexOf(";") >= 0) {
  895. conditional_b = false;
  896. white_space = "";
  897. }
  898. else if (str.indexOf(";") >= 0 && semi_b) {
  899. semi_b = false;
  900. tab_n = indent_start_last;
  901. indent_start.pop();
  902. }
  903. else if (!semi_b && str.indexOf(";") < 0 && !port_b) {
  904. if (!conditional_b) {
  905. if (str.indexOf("WHEN") > 3 && str.indexOf("<=") > 1) {
  906. conditional_b = true;
  907. white_space = (Array(str.indexOf("= ") + 3).join(" "));
  908. }
  909. else if (first_word == "WHEN" && i + 1 < arr.length && arr[i + 1].indexOf("WHEN") < 0) {
  910. tab_n = indent_start_last + 1;
  911. }
  912. else if (str.indexOf("=>") < 0 && ((str.indexOf(ILQuotesPrefix) >= 0 && str.indexOf("= " + ILQuotesPrefix) < 0 && str.indexOf("IF") < 0) || (str.indexOf("<=") > 0 && str.indexOf("IF") < 0 && str.indexOf("THEN") < 0))) {
  913. tab_n++;
  914. indent_start.push(tab_n);
  915. semi_b = true;
  916. }
  917. }
  918. }
  919. if (first_word == "ENTITY") {
  920. tab_n++;
  921. indent_start.push(tab_n);
  922. }
  923. else if (",RECORD,PACKAGE,FOR,COMPONENT,CONFIGURATION,".indexOf("," + first_word + ",") >= 0) {
  924. tab_n++;
  925. indent_start.push(tab_n);
  926. }
  927. else if (str.indexOf(": FOR ") >= 0) {
  928. tab_n++;
  929. indent_start.push(tab_n);
  930. }
  931. else if (first_word == "CASE" || str.indexOf(": CASE") >= 0) {
  932. tab_n++;
  933. indent_start.push(tab_n);
  934. case_indent[case_n] = tab_n;
  935. case_n++;
  936. }
  937. else if (first_word == "ARCHITECTURE") {
  938. tab_n++;
  939. indent_start.push(tab_n);
  940. begin_b = true;
  941. architecture_begin_b = true;
  942. }
  943. else if (first_word == "IF") {
  944. if_b++;
  945. tab_n++;
  946. indent_start.push(tab_n);
  947. if (str.indexOf(" THEN") < 0) {
  948. then_b = true;
  949. tab_n = indent_start_last;
  950. //indent_start.pop();
  951. }
  952. }
  953. if (procfun_b) {
  954. if (str.regexIndexOf(/(\))|(RETURN [A-Za-z0-9 ]+)[\r\n ]+IS/) >= 0) {
  955. tab_n = indent_start_last;
  956. indent_start.pop();
  957. set_false = true;
  958. }
  959. }
  960. }
  961. }
  962. input = arr.join("\r\n");
  963. input = input.replace(/[\t]*@@removeline\r\n/g, '');
  964. p = input.indexOf('PROCESS');
  965. while (p >= 0) {
  966. let nextBracket = input.indexOf('(', p);
  967. let nextNewLine = input.indexOf('\r\n', p);
  968. let nextCloseBracket = input.indexOf(')', nextBracket);
  969. if (nextBracket < nextNewLine && nextCloseBracket > nextNewLine) {
  970. let processArray = input.substring(p, nextCloseBracket).split('\r\n');
  971. if (settings.Indentation.replace(/[ ]+/g, '').length == 0) {
  972. for (var i = 1; i < processArray.length; i++) {
  973. processArray[i] = (Array(nextBracket - p + 2).join(' ')) + processArray[i];
  974. }
  975. }
  976. else {
  977. for (var i = 1; i < processArray.length; i++) {
  978. processArray[i] = settings.Indentation + processArray[i];
  979. }
  980. }
  981. input = input.substring(0, p) + processArray.join('\r\n') + input.substring(nextCloseBracket, input.length);
  982. p = input.regexIndexOf('PROCESS[ ]+\\(', nextCloseBracket);
  983. }
  984. else {
  985. p = input.indexOf('PROCESS[ ]+\\(', p + 7);
  986. }
  987. }
  988. input = SetKeywordCase(input, settings.KeywordCase, KeyWords, TypeNames);
  989. if (settings.SignAlignAll) {
  990. for (var k = 0; k < current_align_group; k++) {
  991. input = input.replace("@@alignall" + k, Array((align_groups_max[k] - align_groups[k] + 1)).join(" "));
  992. }
  993. }
  994. if (settings.SignAlignRegional) {
  995. for (var k = 0; k < align_i; k++) {
  996. input = input.replace("@@align" + k, Array((align_max[k] - align[k] + 2)).join(" "));
  997. }
  998. }
  999. for (var k = 0; k < quotes.length; k++) {
  1000. input = input.replace(ILQuotesPrefix + k, quotes[k]);
  1001. }
  1002. input = input.replace(/@@singleline[ \r\n]*/, " ");
  1003. return input;
  1004. }
  1005. function ReserveSemicolonInKeywords(arr) {
  1006. for (let i = 0; i < arr.length; i++) {
  1007. if (arr[i].match(/FUNCTION|PROCEDURE/) != null) {
  1008. arr[i] = arr[i].replace(/;/g, '@@semicolon');
  1009. }
  1010. }
  1011. }
  1012. function ApplyNoNewLineAfter(arr, noNewLineAfter) {
  1013. if (noNewLineAfter == null) {
  1014. return;
  1015. }
  1016. for (let i = 0; i < arr.length; i++) {
  1017. noNewLineAfter.forEach(n => {
  1018. let regex = new RegExp("(" + n.toUpperCase + ")[ a-z0-9]+[a-z0-9]+");
  1019. if (arr[i].regexIndexOf(regex) >= 0) {
  1020. arr[i] += "@@singleline";
  1021. }
  1022. });
  1023. }
  1024. }
  1025. exports.ApplyNoNewLineAfter = ApplyNoNewLineAfter;
  1026. function RemoveAsserts(arr) {
  1027. let need_semi = false;
  1028. let inAssert = false;
  1029. let n = 0;
  1030. for (let i = 0; i < arr.length; i++) {
  1031. let has_semi = arr[i].indexOf(";") >= 0;
  1032. if (need_semi) {
  1033. arr[i] = '';
  1034. }
  1035. n = arr[i].indexOf("ASSERT ");
  1036. if (n >= 0) {
  1037. inAssert = true;
  1038. arr[i] = '';
  1039. }
  1040. if (!has_semi) {
  1041. if (inAssert) {
  1042. need_semi = true;
  1043. }
  1044. }
  1045. else {
  1046. need_semi = false;
  1047. }
  1048. }
  1049. }
  1050. exports.RemoveAsserts = RemoveAsserts;
  1051. function EscapeQuotes(arr) {
  1052. let quotes = [];
  1053. let quotesIndex = 0;
  1054. for (let i = 0; i < arr.length; i++) {
  1055. let quote = arr[i].match(/"([^"]+)"/g);
  1056. if (quote != null) {
  1057. for (var j = 0; j < quote.length; j++) {
  1058. arr[i] = arr[i].replace(quote[j], ILQuotesPrefix + quotesIndex);
  1059. quotes[quotesIndex++] = quote[j];
  1060. }
  1061. }
  1062. }
  1063. return quotes;
  1064. }
  1065. function RemoveExtraNewLines(input) {
  1066. input = input.replace(/(?:\r\n|\r|\n)/g, '\r\n');
  1067. input = input.replace(/ \r\n/g, '\r\n');
  1068. input = input.replace(/\r\n\r\n\r\n/g, '\r\n');
  1069. return input;
  1070. }
  1071. //# sourceMappingURL=VHDLFormatter.js.map