You can not select more than 25 topics Topics must start with a letter or number, can include dashes ('-') and can be up to 35 characters long.

904 lines
35 KiB

6 years ago
6 years ago
6 years ago
6 years ago
6 years ago
6 years ago
6 years ago
6 years ago
6 years ago
6 years ago
6 years ago
6 years ago
6 years ago
6 years ago
6 years ago
6 years ago
6 years ago
6 years ago
6 years ago
6 years ago
6 years ago
6 years ago
6 years ago
6 years ago
6 years ago
6 years ago
6 years ago
6 years ago
6 years ago
6 years ago
6 years ago
6 years ago
6 years ago
6 years ago
6 years ago
6 years ago
6 years ago
6 years ago
6 years ago
6 years ago
6 years ago
6 years ago
6 years ago
6 years ago
6 years ago
6 years ago
6 years ago
6 years ago
6 years ago
6 years ago
6 years ago
6 years ago
6 years ago
6 years ago
  1. "use strict";
  2. Object.defineProperty(exports, "__esModule", { value: true });
  3. let isTesting = false;
  4. const ILCommentPrefix = "@@comments";
  5. const ILQuotesPrefix = "@@quotes";
  6. class NewLineSettings {
  7. constructor() {
  8. this.newLineAfter = [];
  9. this.noNewLineAfter = [];
  10. }
  11. newLineAfterPush(keyword) {
  12. this.newLineAfter.push(keyword);
  13. }
  14. noNewLineAfterPush(keyword) {
  15. this.noNewLineAfter.push(keyword);
  16. }
  17. push(keyword, addNewLine) {
  18. if (addNewLine) {
  19. this.newLineAfterPush(keyword);
  20. }
  21. else {
  22. this.noNewLineAfterPush(keyword);
  23. }
  24. }
  25. }
  26. exports.NewLineSettings = NewLineSettings;
  27. function ConstructNewLineSettings(dict) {
  28. let settings = new NewLineSettings();
  29. for (let key in dict) {
  30. settings.push(key, dict[key]);
  31. }
  32. return settings;
  33. }
  34. function fetchHeader(url, wch) {
  35. try {
  36. var req = new XMLHttpRequest();
  37. req.open("HEAD", url, false);
  38. req.send(null);
  39. if (req.status == 200) {
  40. return req.getResponseHeader(wch);
  41. }
  42. else
  43. return false;
  44. }
  45. catch (e) {
  46. return "";
  47. }
  48. }
  49. String.prototype.regexStartsWith = function (pattern) {
  50. var searchResult = this.search(pattern);
  51. return searchResult == 0;
  52. };
  53. String.prototype.regexIndexOf = function (pattern, startIndex) {
  54. startIndex = startIndex || 0;
  55. var searchResult = this.substr(startIndex).search(pattern);
  56. return (-1 === searchResult) ? -1 : searchResult + startIndex;
  57. };
  58. String.prototype.regexLastIndexOf = function (pattern, startIndex) {
  59. startIndex = startIndex === undefined ? this.length : startIndex;
  60. var searchResult = this.substr(0, startIndex).reverse().regexIndexOf(pattern, 0);
  61. return (-1 === searchResult) ? -1 : this.length - ++searchResult;
  62. };
  63. String.prototype.reverse = function () {
  64. return this.split('').reverse().join('');
  65. };
  66. function wordWrap() {
  67. var d = document.getElementById("result");
  68. if (d.className == "") {
  69. d.className = "wordwrap";
  70. }
  71. else {
  72. d.className = "";
  73. }
  74. }
  75. function getHTMLInputElement(name) {
  76. return document.getElementById(name);
  77. }
  78. function noFormat() {
  79. let elements = ["remove_comments",
  80. "remove_lines",
  81. "remove_report",
  82. "check_alias",
  83. "sign_align",
  84. "sign_align_all",
  85. "new_line_after_port",
  86. "new_line",
  87. "use_space",
  88. "compress",
  89. "mix_letter"];
  90. var t = !(getHTMLInputElement("remove_comments").disabled);
  91. elements.forEach(element => {
  92. getHTMLInputElement(element).disabled = t;
  93. });
  94. let keyword = document.getElementById("keyword");
  95. for (let i = 0; i < keyword.elements.length; i++) {
  96. keyword.elements[i].disabled = t;
  97. }
  98. }
  99. function indent_decode() {
  100. var custom_indent = getHTMLInputElement("cust_indent").value;
  101. var result = indentDecode(custom_indent);
  102. document.getElementById("indent_s").innerHTML = result;
  103. }
  104. function indentDecode(input) {
  105. input = input.replace(/\\t/g, " ");
  106. var count = [" & one ", " & two ", " & three ", " & four ", " & five ", " & six ", " & seven ", " & eight ", " & many "];
  107. var tokens = input.split("");
  108. var result = "";
  109. var repeatedCharCount = 0;
  110. for (var i = 0; i < tokens.length; i++) {
  111. var char = input.substr(i, 1);
  112. if (char == input.substr(i + 1, 1)) {
  113. repeatedCharCount++;
  114. }
  115. else {
  116. switch (char) {
  117. case " ":
  118. char = "blankspace";
  119. break;
  120. case "\t":
  121. char = "tab";
  122. }
  123. repeatedCharCount = repeatedCharCount > 8 ? 8 : repeatedCharCount;
  124. result += count[repeatedCharCount] + char;
  125. repeatedCharCount = 0;
  126. }
  127. }
  128. if (result.length < 0) {
  129. switch (char) {
  130. case " ":
  131. char = "blankspace";
  132. break;
  133. case "\t":
  134. char = "tab";
  135. }
  136. repeatedCharCount = repeatedCharCount > 8 ? 8 : repeatedCharCount;
  137. result = count[repeatedCharCount] + char;
  138. }
  139. result = result.replace(/^ & /, "");
  140. return result;
  141. }
  142. exports.indentDecode = indentDecode;
  143. function Compress(input) {
  144. input = input.replace(/\r\n/g, '');
  145. input = input.replace(/[\t ]+/g, ' ');
  146. input = input.replace(/[ ]?([&=:\-<>\+|])[ ]?/g, '$1');
  147. return input;
  148. }
  149. function MixLetters(input) {
  150. let arr = input.split("");
  151. for (var k = 0; k < arr.length; k++) {
  152. if (arr[k] === arr[k].toUpperCase() && Math.random() > 0.5) {
  153. arr[k] = arr[k].toLowerCase();
  154. }
  155. else if (Math.random() > 0.5) {
  156. arr[k] = arr[k].toUpperCase();
  157. }
  158. }
  159. return arr.join("");
  160. }
  161. function EscapeComments(arr, comments, commentIndex) {
  162. for (var i = 0; i < arr.length; i++) {
  163. let line = arr[i];
  164. var firstCharIndex = line.regexIndexOf(/[a-zA-Z0-9\(\&\)%_\+'"|]/);
  165. var commentStartIndex = line.indexOf("--");
  166. if (firstCharIndex < commentStartIndex && firstCharIndex >= 0) {
  167. comments.push(line.substr(commentStartIndex));
  168. arr[i] = line.substr(firstCharIndex, commentStartIndex - firstCharIndex) + ILCommentPrefix + (commentIndex++);
  169. }
  170. else if ((firstCharIndex > commentStartIndex && commentStartIndex >= 0) || (firstCharIndex < 0 && commentStartIndex >= 0)) {
  171. comments.push(line.substr(commentStartIndex));
  172. arr[i] = ILCommentPrefix + (commentIndex++);
  173. }
  174. else {
  175. firstCharIndex = firstCharIndex < 0 ? 0 : firstCharIndex;
  176. arr[i] = line.substr(firstCharIndex);
  177. }
  178. }
  179. return commentIndex;
  180. }
  181. function ToLowerCases(arr) {
  182. for (var i = 0; i < arr.length; i++) {
  183. arr[i] = arr[i].toLowerCase();
  184. }
  185. }
  186. function ToCamelCases(arr) {
  187. for (var i = 0; i < arr.length; i++) {
  188. arr[i] = arr[i].charAt(0) + arr[i].slice(1).toLowerCase();
  189. }
  190. }
  191. function ReplaceKeyWords(text, keywords) {
  192. for (var k = 0; k < keywords.length; k++) {
  193. text = text.replace(new RegExp("([^a-zA-Z0-9_@]|^)" + keywords[k] + "([^a-zA-Z0-9_]|$)", 'gi'), "$1" + keywords[k] + "$2");
  194. }
  195. return text;
  196. }
  197. function SetKeywordCase(input, keywordcase, keywords, typenames) {
  198. let inputcase = keywordcase.toLowerCase();
  199. if (inputcase == "lowercase") {
  200. ToLowerCases(keywords);
  201. ToLowerCases(typenames);
  202. }
  203. else if (inputcase == "defaultcase") {
  204. ToCamelCases(keywords);
  205. ToCamelCases(typenames);
  206. }
  207. if (inputcase != "uppercase") {
  208. input = ReplaceKeyWords(input, keywords);
  209. input = ReplaceKeyWords(input, typenames);
  210. }
  211. return input;
  212. }
  213. function SetNewLinesAfterSymbols(text, newLineSettings) {
  214. if (newLineSettings == null) {
  215. return text;
  216. }
  217. if (newLineSettings.newLineAfter != null) {
  218. newLineSettings.newLineAfter.forEach(symbol => {
  219. let regex = new RegExp("(" + symbol.toUpperCase() + ")[ ]?([^ \r\n@])", "g");
  220. text = text.replace(regex, '$1\r\n$2');
  221. });
  222. }
  223. if (newLineSettings.noNewLineAfter != null) {
  224. newLineSettings.noNewLineAfter.forEach(symbol => {
  225. let regex = new RegExp("(" + symbol.toUpperCase() + ")[ \r\n]+([^@])", "g");
  226. text = text.replace(regex, '$1 $2');
  227. });
  228. }
  229. return text;
  230. }
  231. exports.SetNewLinesAfterSymbols = SetNewLinesAfterSymbols;
  232. class BeautifierSettings {
  233. constructor(removeComments, removeReport, checkAlias, signAlign, signAlignAll, keywordCase, indentation, newLineSettings) {
  234. this.RemoveComments = removeComments;
  235. this.RemoveAsserts = removeReport;
  236. this.CheckAlias = checkAlias;
  237. this.SignAlign = signAlign;
  238. this.SignAlignAll = signAlignAll;
  239. this.KeywordCase = keywordCase;
  240. this.Indentation = indentation;
  241. this.NewLineSettings = newLineSettings;
  242. }
  243. }
  244. exports.BeautifierSettings = BeautifierSettings;
  245. let KeyWords = ["ABS", "ACCESS", "AFTER", "ALIAS", "ALL", "AND", "ARCHITECTURE", "ARRAY", "ASSERT", "ATTRIBUTE", "BEGIN", "BLOCK", "BODY", "BUFFER", "BUS", "CASE", "COMPONENT", "CONFIGURATION", "CONSTANT", "CONTEXT", "COVER", "DISCONNECT", "DOWNTO", "DEFAULT", "ELSE", "ELSIF", "END", "ENTITY", "EXIT", "FAIRNESS", "FILE", "FOR", "FORCE", "FUNCTION", "GENERATE", "GENERIC", "GROUP", "GUARDED", "IF", "IMPURE", "IN", "INERTIAL", "INOUT", "IS", "LABEL", "LIBRARY", "LINKAGE", "LITERAL", "LOOP", "MAP", "MOD", "NAND", "NEW", "NEXT", "NOR", "NOT", "NULL", "OF", "ON", "OPEN", "OR", "OTHERS", "OUT", "PACKAGE", "PORT", "POSTPONED", "PROCEDURE", "PROCESS", "PROPERTY", "PROTECTED", "PURE", "RANGE", "RECORD", "REGISTER", "REJECT", "RELEASE", "REM", "REPORT", "RESTRICT", "RESTRICT_GUARANTEE", "RETURN", "ROL", "ROR", "SELECT", "SEQUENCE", "SEVERITY", "SHARED", "SIGNAL", "SLA", "SLL", "SRA", "SRL", "STRONG", "SUBTYPE", "THEN", "TO", "TRANSPORT", "TYPE", "UNAFFECTED", "UNITS", "UNTIL", "USE", "VARIABLE", "VMODE", "VPROP", "VUNIT", "WAIT", "WHEN", "WHILE", "WITH", "XNOR", "XOR"];
  246. let TypeNames = ["BOOLEAN", "BIT", "CHARACTER", "INTEGER", "TIME", "NATURAL", "POSITIVE", "STRING"];
  247. function beautify(input, settings) {
  248. var arr = input.split("\r\n");
  249. var comments = [], commentsIndex = 0;
  250. commentsIndex = EscapeComments(arr, comments, commentsIndex);
  251. if (settings.RemoveComments) {
  252. input = input.replace(/@@comments[0-9]+/g, '');
  253. commentsIndex = 0;
  254. }
  255. input = arr.join("\r\n");
  256. input = RemoveExtraNewLines(input);
  257. input = input.replace(/[\t ]+/g, ' ');
  258. input = input.replace(/\([\t ]+/g, '\(');
  259. input = input.replace(/[ ]+;/g, ';');
  260. input = input.replace(/:[ ]*(PROCESS|ENTITY)/gi, ':$1');
  261. input = ReplaceKeyWords(input, KeyWords);
  262. input = ReplaceKeyWords(input, TypeNames);
  263. arr = input.split("\r\n");
  264. ReserveSemicolonInKeywords(arr);
  265. input = arr.join("\r\n");
  266. input = input.replace(/(PORT|PROCESS|GENERIC)[\s]*\(/g, '$1 (');
  267. input = SetNewLinesAfterSymbols(input, settings.NewLineSettings);
  268. //input = beautify2(input, settings);
  269. //new
  270. arr = input.split("\r\n");
  271. let result = [];
  272. beautify3(arr, result, settings, 0, 0);
  273. arr = FormattedLineToString(result, settings.Indentation);
  274. input = arr.join("\r\n");
  275. for (var k = 0; k < commentsIndex; k++) {
  276. input = input.replace(ILCommentPrefix + k, comments[k]);
  277. }
  278. input = input.replace(/@@semicolon/g, ";");
  279. input = input.replace(/@@[a-z]+/g, "");
  280. return input;
  281. }
  282. exports.beautify = beautify;
  283. class FormattedLine {
  284. constructor(line, indent) {
  285. this.Line = line;
  286. this.Indent = indent;
  287. }
  288. }
  289. exports.FormattedLine = FormattedLine;
  290. function FormattedLineToString(arr, indentation) {
  291. let result = [];
  292. if (arr == null) {
  293. return result;
  294. }
  295. arr.forEach(i => {
  296. if (i instanceof FormattedLine) {
  297. result.push((Array(i.Indent).join(indentation)) + i.Line);
  298. }
  299. else {
  300. result = result.concat(FormattedLineToString(i, indentation));
  301. }
  302. });
  303. return result;
  304. }
  305. function beautifyCaseBlock(inputs, result, settings, startIndex, indent, isFirstKeyWord) {
  306. if (!inputs[startIndex].regexStartsWith(/(CASE)([\s]|$)/)) {
  307. return startIndex;
  308. }
  309. result.push(new FormattedLine(inputs[startIndex], indent));
  310. let i = beautify3(inputs, result, settings, startIndex + 1, indent + 2);
  311. result[i].Indent = indent;
  312. return i;
  313. }
  314. exports.beautifyCaseBlock = beautifyCaseBlock;
  315. function beautify3(inputs, result, settings, startIndex, indent, isFirstKeyWord) {
  316. let i;
  317. let regexOneLineBlockKeyWords = new RegExp(/(PROCEDURE|FUNCTION|IMPURE FUNCTION)[^\w_](?!.+[^\w_]IS([^\w_]|$))/); //match PROCEDURE..; but not PROCEDURE .. IS;
  318. let blockMidKeyWords = ["ELSE", "ELSIF", "WHEN", "BEGIN"];
  319. let blockStartsKeyWords = ["IF", "CASE", "ARCHITECTURE", "PROCEDURE", "PACKAGE", "PROCESS", "POSTPONED PROCESS", "(\\w+:\\s+PROCESS)", "FUNCTION", "IMPURE FUNCTION", "TYPE\\s.+\\sPROTECTED"];
  320. let blockEndsKeyWords = ["END"];
  321. let newLineAfterKeyWordsStr = blockStartsKeyWords.join("|");
  322. let blockEndKeyWordsStr = blockEndsKeyWords.join("|");
  323. let blockMidKeyWordsStr = blockMidKeyWords.join("|");
  324. let regexBlockMidKeyWords = new RegExp("(" + blockMidKeyWordsStr + ")([^\\w_]|$)");
  325. let regexBlockStartsKeywords = new RegExp("(" + newLineAfterKeyWordsStr + ")([^\\w_]|$)");
  326. let regexBlockEndsKeyWords = new RegExp("(" + blockEndKeyWordsStr + ")([^\\w_]|$)");
  327. for (i = startIndex; i < inputs.length; i++) {
  328. let input = inputs[i];
  329. if (input.regexStartsWith(/(CASE)([\s]|$)/)) {
  330. i = beautifyCaseBlock(inputs, result, settings, i, indent);
  331. continue;
  332. }
  333. result.push(new FormattedLine(input, indent));
  334. if (startIndex != 0
  335. && (input.regexStartsWith(regexBlockMidKeyWords))) {
  336. result[i].Indent--;
  337. }
  338. else if (startIndex != 0
  339. && (input.regexStartsWith(regexBlockEndsKeyWords))) {
  340. result[i].Indent--;
  341. return i;
  342. }
  343. if (input.regexStartsWith(regexOneLineBlockKeyWords)) {
  344. continue;
  345. }
  346. if (input.regexStartsWith(regexBlockStartsKeywords)) {
  347. i = beautify3(inputs, result, settings, i + 1, indent + 1);
  348. }
  349. }
  350. i--;
  351. return i;
  352. }
  353. exports.beautify3 = beautify3;
  354. function beautify2(input, settings) {
  355. let arr = input.split("\r\n");
  356. let quotes = EscapeQuotes(arr);
  357. if (settings.RemoveAsserts) {
  358. RemoveAsserts(arr); //RemoveAsserts must be after EscapeQuotes
  359. }
  360. ApplyNoNewLineAfter(arr, settings.NewLineSettings.noNewLineAfter);
  361. var align = [], align_max = [], align_i1 = 0, align_i = 0;
  362. var str = "", str1 = "";
  363. var p = 0;
  364. var n = 0, j = 0;
  365. var tab_n = 0, str_len = 0, port_s = "";
  366. var back_tab = false, forward_tab = false, semi_pos = 0, begin_b = true, port_b = false;
  367. var before_begin = true;
  368. var l = arr.length;
  369. for (i = 0; i < l; i++) {
  370. if (arr[i].indexOf("BEGIN") >= 0) {
  371. before_begin = false;
  372. }
  373. if (port_s) {
  374. port_s += arr[i];
  375. var k_port = port_s.split("(").length;
  376. if (k_port == port_s.split(")").length) {
  377. arr[i] = arr[i] + "@@end";
  378. port_s = "";
  379. port_b = false;
  380. }
  381. }
  382. if ((!port_b && arr[i].regexIndexOf(/(\s|\(|^)(PORT|GENERIC|PROCESS|PROCEDURE)(\s|\(|$)/) >= 0)
  383. || (arr[i].regexIndexOf(/:[ ]?=[ ]?\(/) >= 0 && before_begin)) {
  384. port_b = true;
  385. port_s = arr[i];
  386. var k_port = port_s.split("(").length;
  387. if (k_port == 1) {
  388. port_b = false;
  389. port_s = "";
  390. }
  391. else if (k_port == port_s.split(")").length) {
  392. port_s = "";
  393. port_b = false;
  394. arr[i] = arr[i] + "@@singleend";
  395. }
  396. else {
  397. arr[i] = arr[i].replace(/(PORT|GENERIC|PROCEDURE)([a-z0-9A-Z_ ]+)\(([a-zA-Z0-9_\(\) ]+)/, '$1$2(\r\n$3');
  398. }
  399. }
  400. }
  401. input = arr.join("\r\n");
  402. input = input.replace(/([a-zA-Z0-9\); ])\);(@@comments[0-9]+)?@@end/g, '$1\r\n);$2@@end');
  403. input = input.replace(/[ ]?([&=:\-<>\+|\*])[ ]?/g, ' $1 ');
  404. input = input.replace(/[ ]?([,])[ ]?/g, '$1 ');
  405. input = input.replace(/[ ]?(['"])(THEN)/g, '$1 $2');
  406. input = input.replace(/[ ]?(\?)?[ ]?(<|:|>|\/)?[ ]+(=)?[ ]?/g, ' $1$2$3 ');
  407. input = input.replace(/(IF)[ ]?([\(\)])/g, '$1 $2');
  408. input = input.replace(/([\(\)])[ ]?(THEN)/gi, '$1 $2');
  409. input = input.replace(/(^|[\(\)])[ ]?(AND|OR|XOR|XNOR)[ ]*([\(])/g, '$1 $2 $3');
  410. input = input.replace(/ ([\-\*\/=+<>])[ ]*([\-\*\/=+<>]) /g, " $1$2 ");
  411. input = input.replace(/\r\n[ \t]+--\r\n/g, "\r\n");
  412. input = input.replace(/[ ]+/g, ' ');
  413. input = input.replace(/\r\n\r\n\r\n/g, '\r\n');
  414. input = input.replace(/[\r\n\s]+$/g, '');
  415. input = input.replace(/[ \t]+\)/g, ')');
  416. var matches = input.match(/'([a-zA-Z]+)\s/g);
  417. if (matches != null) {
  418. for (var k2 = 0; k2 < matches.length; k2++) {
  419. input = input.replace(matches[k2], matches[k2].toUpperCase());
  420. }
  421. }
  422. input = input.replace(/(MAP)[ \r\n]+\(/g, '$1(');
  423. //input = input.replace(/(;|THEN)[ ]?(@@comments[0-9]+)([a-zA-Z])/g, '$1 $2\r\n$3');
  424. input = input.replace(/[\r\n ]+RETURN/g, ' RETURN');
  425. input = input.replace(/BEGIN[\r\n ]+/g, 'BEGIN\r\n');
  426. input = input.replace(/ (PORT|GENERIC) /g, '\r\n$1 ');
  427. if (settings.CheckAlias) {
  428. var alias = [], subarr = [], o = 0, p = 0, p2 = 0, l2 = 0, i2 = 0;
  429. arr = input.split("ARCHITECTURE ");
  430. l = arr.length;
  431. for (i = 0; i < l; i++) {
  432. subarr = arr[i].split("ALIAS ");
  433. l2 = subarr.length;
  434. if (l2 > 1) {
  435. o = 0;
  436. for (i2 = 1; i2 < l2; i2++) {
  437. o = subarr[i2].indexOf(";", n);
  438. str = subarr[i2].substring(0, o);
  439. alias[p2++] = str.split(" IS ");
  440. }
  441. i2--;
  442. var str2 = subarr[i2].substr(o);
  443. for (p = 0; p < p2; p++) {
  444. var reg = new RegExp(alias[p][1], 'gi');
  445. str2 = str2.replace(reg, alias[p][0]);
  446. }
  447. subarr[i2] = subarr[i2].substring(0, o) + str2;
  448. }
  449. arr[i] = subarr.join("ALIAS ");
  450. }
  451. input = arr.join("ARCHITECTURE ");
  452. }
  453. arr = input.split("\r\n");
  454. l = arr.length;
  455. var signAlignPos = "";
  456. var if_b = 0, white_space = "", case_b = false, case_n = 0, procfun_b = false, semi_b = false, set_false = false, entity_b = false, then_b = false, conditional_b = false, generic_map_b = false, architecture_begin_b = false, process_begin_b = false, case_indent = [0, 0, 0, 0, 0, 0, 0];
  457. var align_groups = [], align_groups_max = [], lastAlignedSign = "", current_align_group = 0, aligned_group_starts = 0;
  458. var indent_start = [];
  459. for (i = 0; i < l; i++) {
  460. str = arr[i];
  461. str_len = str.length;
  462. if (str.replace(/[ \-\t]*/, "").length > 0) {
  463. var first_word = str.split(/[^\w]/)[0];
  464. var indent_start_last = indent_start.length == 0 ? 0 : indent_start[indent_start.length - 1];
  465. if (then_b) {
  466. arr[i] = " " + arr[i];
  467. if (str.indexOf(" THEN") >= 0) {
  468. then_b = false;
  469. back_tab = true;
  470. }
  471. }
  472. arr[i] = white_space + arr[i];
  473. if (first_word == "ELSIF") {
  474. tab_n = indent_start_last - 1;
  475. indent_start.pop();
  476. back_tab = true;
  477. }
  478. else if (str.indexOf("END CASE") == 0) {
  479. indent_start.pop();
  480. case_n--;
  481. tab_n = indent_start[indent_start.length - 1];
  482. }
  483. else if (first_word == "END") {
  484. tab_n = indent_start_last - 1;
  485. indent_start.pop();
  486. if (str.indexOf("END IF") == 0) {
  487. if_b--;
  488. }
  489. if (i == l - 1) {
  490. tab_n = 1;
  491. }
  492. }
  493. else if (first_word == "ELSE" && if_b) {
  494. tab_n = indent_start_last - 1;
  495. indent_start.pop();
  496. back_tab = true;
  497. }
  498. else if (case_n) {
  499. if (first_word == "WHEN") {
  500. tab_n = case_indent[case_n - 1];
  501. //back_tab = true;
  502. }
  503. }
  504. else if (first_word == "BEGIN") {
  505. if (begin_b) {
  506. if (architecture_begin_b) {
  507. tab_n = indent_start_last - 1;
  508. architecture_begin_b = false;
  509. }
  510. else if (process_begin_b) {
  511. tab_n = indent_start_last - 1;
  512. process_begin_b = false;
  513. }
  514. else {
  515. tab_n = indent_start_last;
  516. indent_start.push(tab_n + 1);
  517. }
  518. //indent_start.pop();
  519. back_tab = true;
  520. begin_b = false;
  521. if (procfun_b) {
  522. tab_n++;
  523. indent_start.push(tab_n);
  524. begin_b = true;
  525. }
  526. }
  527. else {
  528. back_tab = true;
  529. }
  530. }
  531. else if (first_word == "PROCESS") {
  532. begin_b = true;
  533. }
  534. else if (str.indexOf(": PROCESS") >= 0) {
  535. back_tab = true;
  536. begin_b = true;
  537. process_begin_b = true;
  538. }
  539. else if (str.indexOf(": ENTITY") >= 0) {
  540. back_tab = true;
  541. entity_b = true;
  542. }
  543. else if (str.indexOf("PROCEDURE ") >= 0) {
  544. back_tab = true;
  545. begin_b = true;
  546. }
  547. if (port_b && str.indexOf("@@") < 0) {
  548. if (i + 1 <= arr.length - 1 && arr[i + 1].indexOf("@@") < 0) {
  549. if (signAlignPos == ":") {
  550. if (str.indexOf(';') < 0) {
  551. arr[i] += arr[i + 1];
  552. arr[i + 1] = '@@removeline';
  553. }
  554. }
  555. else if (signAlignPos == "=>") {
  556. if (str.indexOf(',') < 0) {
  557. arr[i] += arr[i + 1];
  558. arr[i + 1] = '@@removeline';
  559. }
  560. }
  561. }
  562. }
  563. if (str.indexOf("PORT MAP") >= 0) {
  564. back_tab = true;
  565. port_b = true;
  566. if (str.indexOf(");") < 0) {
  567. align_i1 = align_i;
  568. var t = str.indexOf("=>");
  569. if (t >= 0) {
  570. signAlignPos = "=>";
  571. }
  572. else {
  573. if (i + 1 < arr.length) {
  574. t = arr[i + 1].indexOf("=>");
  575. if (t >= 0) {
  576. signAlignPos = "=>";
  577. }
  578. }
  579. }
  580. }
  581. else {
  582. signAlignPos = "";
  583. }
  584. }
  585. else if (str.indexOf("GENERIC MAP") >= 0) {
  586. tab_n++;
  587. indent_start.push(tab_n);
  588. generic_map_b = true;
  589. if (!begin_b) {
  590. back_tab = false;
  591. }
  592. }
  593. else if (str.indexOf("PORT (") >= 0 && begin_b) {
  594. back_tab = true;
  595. port_b = true;
  596. t = str.indexOf(":");
  597. if (str.indexOf(");") < 0) {
  598. align_i1 = align_i;
  599. if (t >= 0) {
  600. signAlignPos = ":";
  601. }
  602. else {
  603. t = arr[i + 1].indexOf(":");
  604. if (t >= 0) {
  605. signAlignPos = ":";
  606. }
  607. }
  608. }
  609. else {
  610. signAlignPos = "";
  611. }
  612. }
  613. if (set_false) {
  614. procfun_b = false;
  615. set_false = false;
  616. }
  617. if (str.indexOf("(") >= 0) {
  618. if (str.indexOf("PROCEDURE") >= 0 || str.indexOf("FUNCTION") >= 0) {
  619. procfun_b = true;
  620. back_tab = true;
  621. }
  622. if ((str.indexOf("GENERIC") >= 0 || str.indexOf(":= (") >= 0 || str.regexIndexOf(/PROCEDURE[a-zA-Z0-9_ ]+\(/) >= 0) && begin_b) {
  623. port_b = true;
  624. back_tab = true;
  625. }
  626. }
  627. else if (first_word == "FUNCTION") {
  628. back_tab = true;
  629. begin_b = true;
  630. }
  631. if (str.indexOf("@@singleend") >= 0) {
  632. back_tab = false;
  633. port_b = false;
  634. if (!begin_b) {
  635. forward_tab = true;
  636. }
  637. }
  638. else if (str.indexOf("@@end") >= 0 && port_b) {
  639. port_b = false;
  640. indent_start.pop();
  641. tab_n = indent_start[indent_start.length - 1];
  642. if (entity_b) {
  643. forward_tab = true;
  644. }
  645. if (generic_map_b) {
  646. forward_tab = true;
  647. generic_map_b = false;
  648. }
  649. }
  650. if (settings.SignAlignAll) {
  651. var alignedSigns = [":", "<=", "=>"];
  652. for (var currentSign = 0; currentSign < alignedSigns.length; currentSign++) {
  653. if (str.indexOf(alignedSigns[currentSign]) > 0) {
  654. var char_before_sign = str.split(alignedSigns[currentSign])[0];
  655. var char_before_sign_length = char_before_sign.length;
  656. align_groups.push(char_before_sign_length);
  657. align_groups_max.push(char_before_sign_length);
  658. if (alignedSigns[currentSign] == lastAlignedSign) {
  659. if (align_groups_max[current_align_group - 1] < char_before_sign_length) {
  660. for (var k3 = aligned_group_starts; k3 <= current_align_group; k3++) {
  661. align_groups_max[k3] = char_before_sign_length;
  662. }
  663. }
  664. else {
  665. align_groups_max[current_align_group] = align_groups_max[current_align_group - 1];
  666. }
  667. }
  668. else {
  669. aligned_group_starts = current_align_group;
  670. }
  671. arr[i] = char_before_sign + "@@alignall" + (current_align_group++) + str.substring(char_before_sign.length, arr[i].length);
  672. lastAlignedSign = alignedSigns[currentSign];
  673. break;
  674. }
  675. }
  676. if (currentSign == alignedSigns.length) {
  677. lastAlignedSign = "";
  678. }
  679. }
  680. else if (settings.SignAlign) {
  681. if (port_b && signAlignPos != "") {
  682. if (str.indexOf(signAlignPos) >= 0) {
  683. var a1 = arr[i].split(signAlignPos);
  684. var l1 = a1[0].length;
  685. if (align_i >= 0 && align_i > align_i1) {
  686. align_max[align_i] = align_max[align_i - 1];
  687. }
  688. else {
  689. align_max[align_i] = l1;
  690. }
  691. if (align_i > align_i1 && align_max[align_i] < l1) {
  692. for (var k3 = align_i1; k3 <= align_i; k3++) {
  693. align_max[k3] = l1;
  694. }
  695. }
  696. align[align_i] = l1;
  697. arr[i] = a1[0] + "@@align" + (align_i++) + signAlignPos + arr[i].substring(l1 + signAlignPos.length, arr[i].length);
  698. }
  699. }
  700. }
  701. tab_n = tab_n < 1 ? 1 : tab_n;
  702. if (str_len) {
  703. if (isTesting) {
  704. console.log(tab_n, arr[i], indent_start);
  705. }
  706. arr[i] = (Array(tab_n).join(settings.Indentation)) + arr[i]; //indent
  707. if (settings.NewLineSettings.newLineAfter.indexOf("port")) {
  708. if (str.indexOf('@@singleend') < 0) {
  709. arr[i] = arr[i].replace(/(PORT)([ \r\n\w]*)\(/, "$1$2\r\n" + (Array(tab_n).join(settings.Indentation)) + "(");
  710. }
  711. }
  712. if (settings.NewLineSettings.newLineAfter.indexOf("generic")) {
  713. if (str.indexOf('@@singleend') < 0) {
  714. arr[i] = arr[i].replace(/(GENERIC)([ \r\n\w]*)\(/, "$1$2\r\n" + (Array(tab_n).join(settings.Indentation)) + "(");
  715. }
  716. }
  717. }
  718. if (back_tab) {
  719. tab_n++;
  720. indent_start.push(tab_n);
  721. back_tab = false;
  722. }
  723. if (forward_tab) {
  724. tab_n = indent_start_last;
  725. indent_start.pop();
  726. forward_tab = false;
  727. }
  728. if (conditional_b && str.indexOf(";") >= 0) {
  729. conditional_b = false;
  730. white_space = "";
  731. }
  732. else if (str.indexOf(";") >= 0 && semi_b) {
  733. semi_b = false;
  734. tab_n = indent_start_last;
  735. indent_start.pop();
  736. }
  737. else if (!semi_b && str.indexOf(";") < 0 && !port_b) {
  738. if (!conditional_b) {
  739. if (str.indexOf("WHEN") > 3 && str.indexOf("<=") > 1) {
  740. conditional_b = true;
  741. white_space = (Array(str.indexOf("= ") + 3).join(" "));
  742. }
  743. else if (first_word == "WHEN" && i + 1 < arr.length && arr[i + 1].indexOf("WHEN") < 0) {
  744. tab_n = indent_start_last + 1;
  745. }
  746. else if (str.indexOf("=>") < 0 && ((str.indexOf(ILQuotesPrefix) >= 0 && str.indexOf("= " + ILQuotesPrefix) < 0 && str.indexOf("IF") < 0) || (str.indexOf("<=") > 0 && str.indexOf("IF") < 0 && str.indexOf("THEN") < 0))) {
  747. tab_n++;
  748. indent_start.push(tab_n);
  749. semi_b = true;
  750. }
  751. }
  752. }
  753. if (first_word == "ENTITY") {
  754. tab_n++;
  755. indent_start.push(tab_n);
  756. }
  757. else if (",RECORD,PACKAGE,FOR,COMPONENT,CONFIGURATION,".indexOf("," + first_word + ",") >= 0) {
  758. tab_n++;
  759. indent_start.push(tab_n);
  760. }
  761. else if (str.indexOf(": FOR ") >= 0) {
  762. tab_n++;
  763. indent_start.push(tab_n);
  764. }
  765. else if (first_word == "CASE" || str.indexOf(": CASE") >= 0) {
  766. tab_n++;
  767. indent_start.push(tab_n);
  768. case_indent[case_n] = tab_n;
  769. case_n++;
  770. }
  771. else if (first_word == "ARCHITECTURE") {
  772. tab_n++;
  773. indent_start.push(tab_n);
  774. begin_b = true;
  775. architecture_begin_b = true;
  776. }
  777. else if (first_word == "IF") {
  778. if_b++;
  779. tab_n++;
  780. indent_start.push(tab_n);
  781. if (str.indexOf(" THEN") < 0) {
  782. then_b = true;
  783. tab_n = indent_start_last;
  784. //indent_start.pop();
  785. }
  786. }
  787. if (procfun_b) {
  788. if (str.regexIndexOf(/(\))|(RETURN [A-Za-z0-9 ]+)[\r\n ]+IS/) >= 0) {
  789. tab_n = indent_start_last;
  790. indent_start.pop();
  791. set_false = true;
  792. }
  793. }
  794. }
  795. }
  796. input = arr.join("\r\n");
  797. input = input.replace(/[\t]*@@removeline\r\n/g, '');
  798. p = input.indexOf('PROCESS');
  799. while (p >= 0) {
  800. let nextBracket = input.indexOf('(', p);
  801. let nextNewLine = input.indexOf('\r\n', p);
  802. let nextCloseBracket = input.indexOf(')', nextBracket);
  803. if (nextBracket < nextNewLine && nextCloseBracket > nextNewLine) {
  804. let processArray = input.substring(p, nextCloseBracket).split('\r\n');
  805. if (settings.Indentation.replace(/[ ]+/g, '').length == 0) {
  806. for (var i = 1; i < processArray.length; i++) {
  807. processArray[i] = (Array(nextBracket - p + 2).join(' ')) + processArray[i];
  808. }
  809. }
  810. else {
  811. for (var i = 1; i < processArray.length; i++) {
  812. processArray[i] = settings.Indentation + processArray[i];
  813. }
  814. }
  815. input = input.substring(0, p) + processArray.join('\r\n') + input.substring(nextCloseBracket, input.length);
  816. p = input.regexIndexOf('PROCESS[ ]+\\(', nextCloseBracket);
  817. }
  818. else {
  819. p = input.indexOf('PROCESS[ ]+\\(', p + 7);
  820. }
  821. }
  822. input = SetKeywordCase(input, settings.KeywordCase, KeyWords, TypeNames);
  823. if (settings.SignAlignAll) {
  824. for (var k = 0; k < current_align_group; k++) {
  825. input = input.replace("@@alignall" + k, Array((align_groups_max[k] - align_groups[k] + 1)).join(" "));
  826. }
  827. }
  828. if (settings.SignAlign) {
  829. for (var k = 0; k < align_i; k++) {
  830. input = input.replace("@@align" + k, Array((align_max[k] - align[k] + 2)).join(" "));
  831. }
  832. }
  833. for (var k = 0; k < quotes.length; k++) {
  834. input = input.replace(ILQuotesPrefix + k, quotes[k]);
  835. }
  836. input = input.replace(/@@singleline[ \r\n]*/, " ");
  837. return input;
  838. }
  839. function ReserveSemicolonInKeywords(arr) {
  840. for (let i = 0; i < arr.length; i++) {
  841. if (arr[i].match(/FUNCTION|PROCEDURE/) != null) {
  842. arr[i] = arr[i].replace(/;/g, '@@semicolon');
  843. }
  844. }
  845. }
  846. function ApplyNoNewLineAfter(arr, noNewLineAfter) {
  847. if (noNewLineAfter == null) {
  848. return;
  849. }
  850. for (let i = 0; i < arr.length; i++) {
  851. noNewLineAfter.forEach(n => {
  852. let regex = new RegExp("(" + n.toUpperCase + ")[ a-z0-9]+[a-z0-9]+");
  853. if (arr[i].regexIndexOf(regex) >= 0) {
  854. arr[i] += "@@singleline";
  855. }
  856. });
  857. }
  858. }
  859. exports.ApplyNoNewLineAfter = ApplyNoNewLineAfter;
  860. function RemoveAsserts(arr) {
  861. let need_semi = false;
  862. let inAssert = false;
  863. let n = 0;
  864. for (let i = 0; i < arr.length; i++) {
  865. let has_semi = arr[i].indexOf(";") >= 0;
  866. if (need_semi) {
  867. arr[i] = '';
  868. }
  869. n = arr[i].indexOf("ASSERT ");
  870. if (n >= 0) {
  871. inAssert = true;
  872. arr[i] = '';
  873. }
  874. if (!has_semi) {
  875. if (inAssert) {
  876. need_semi = true;
  877. }
  878. }
  879. else {
  880. need_semi = false;
  881. }
  882. }
  883. }
  884. exports.RemoveAsserts = RemoveAsserts;
  885. function EscapeQuotes(arr) {
  886. let quotes = [];
  887. let quotesIndex = 0;
  888. for (let i = 0; i < arr.length; i++) {
  889. let quote = arr[i].match(/"([^"]+)"/g);
  890. if (quote != null) {
  891. for (var j = 0; j < quote.length; j++) {
  892. arr[i] = arr[i].replace(quote[j], ILQuotesPrefix + quotesIndex);
  893. quotes[quotesIndex++] = quote[j];
  894. }
  895. }
  896. }
  897. return quotes;
  898. }
  899. function RemoveExtraNewLines(input) {
  900. input = input.replace(/(?:\r\n|\r|\n)/g, '\r\n');
  901. input = input.replace(/ \r\n/g, '\r\n');
  902. input = input.replace(/\r\n\r\n\r\n/g, '\r\n');
  903. return input;
  904. }
  905. //# sourceMappingURL=VHDLFormatter.js.map