You can not select more than 25 topics Topics must start with a letter or number, can include dashes ('-') and can be up to 35 characters long.

787 lines
31 KiB

6 years ago
  1. "use strict";
  2. Object.defineProperty(exports, "__esModule", { value: true });
  3. let isTesting = false;
  4. const ILCommentPrefix = "@@comments";
  5. class NewLineSettings {
  6. constructor() {
  7. this.newLineAfter = [];
  8. this.noNewLineAfter = [];
  9. }
  10. newLineAfterPush(keyword) {
  11. this.newLineAfter.push(keyword);
  12. }
  13. noNewLineAfterPush(keyword) {
  14. this.noNewLineAfter.push(keyword);
  15. }
  16. push(keyword, addNewLine) {
  17. if (addNewLine) {
  18. this.newLineAfterPush(keyword);
  19. }
  20. else {
  21. this.noNewLineAfterPush(keyword);
  22. }
  23. }
  24. }
  25. exports.NewLineSettings = NewLineSettings;
  26. function ConstructNewLineSettings(dict) {
  27. let settings = new NewLineSettings();
  28. for (let key in dict) {
  29. settings.push(key, dict[key]);
  30. }
  31. return settings;
  32. }
  33. function fetchHeader(url, wch) {
  34. try {
  35. var req = new XMLHttpRequest();
  36. req.open("HEAD", url, false);
  37. req.send(null);
  38. if (req.status == 200) {
  39. return req.getResponseHeader(wch);
  40. }
  41. else
  42. return false;
  43. }
  44. catch (e) {
  45. return "";
  46. }
  47. }
  48. String.prototype.regexIndexOf = function (pattern, startIndex) {
  49. startIndex = startIndex || 0;
  50. var searchResult = this.substr(startIndex).search(pattern);
  51. return (-1 === searchResult) ? -1 : searchResult + startIndex;
  52. };
  53. String.prototype.regexLastIndexOf = function (pattern, startIndex) {
  54. startIndex = startIndex === undefined ? this.length : startIndex;
  55. var searchResult = this.substr(0, startIndex).reverse().regexIndexOf(pattern, 0);
  56. return (-1 === searchResult) ? -1 : this.length - ++searchResult;
  57. };
  58. String.prototype.reverse = function () {
  59. return this.split('').reverse().join('');
  60. };
  61. function wordWrap() {
  62. var d = document.getElementById("result");
  63. if (d.className == "") {
  64. d.className = "wordwrap";
  65. }
  66. else {
  67. d.className = "";
  68. }
  69. }
  70. function getHTMLInputElement(name) {
  71. return document.getElementById(name);
  72. }
  73. function noFormat() {
  74. let elements = ["remove_comments",
  75. "remove_lines",
  76. "remove_report",
  77. "check_alias",
  78. "sign_align",
  79. "sign_align_all",
  80. "new_line_after_port",
  81. "new_line",
  82. "use_space",
  83. "compress",
  84. "mix_letter"];
  85. var t = !(getHTMLInputElement("remove_comments").disabled);
  86. elements.forEach(element => {
  87. getHTMLInputElement(element).disabled = t;
  88. });
  89. let keyword = document.getElementById("keyword");
  90. for (let i = 0; i < keyword.elements.length; i++) {
  91. keyword.elements[i].disabled = t;
  92. }
  93. }
  94. function indent_decode() {
  95. var custom_indent = getHTMLInputElement("cust_indent").value;
  96. var result = indentDecode(custom_indent);
  97. document.getElementById("indent_s").innerHTML = result;
  98. }
  99. function indentDecode(input) {
  100. input = input.replace(/\\t/g, " ");
  101. var count = [" & one ", " & two ", " & three ", " & four ", " & five ", " & six ", " & seven ", " & eight ", " & many "];
  102. var tokens = input.split("");
  103. var result = "";
  104. var repeatedCharCount = 0;
  105. for (var i = 0; i < tokens.length; i++) {
  106. var char = input.substr(i, 1);
  107. if (char == input.substr(i + 1, 1)) {
  108. repeatedCharCount++;
  109. }
  110. else {
  111. switch (char) {
  112. case " ":
  113. char = "blankspace";
  114. break;
  115. case "\t":
  116. char = "tab";
  117. }
  118. repeatedCharCount = repeatedCharCount > 8 ? 8 : repeatedCharCount;
  119. result += count[repeatedCharCount] + char;
  120. repeatedCharCount = 0;
  121. }
  122. }
  123. if (result.length < 0) {
  124. switch (char) {
  125. case " ":
  126. char = "blankspace";
  127. break;
  128. case "\t":
  129. char = "tab";
  130. }
  131. repeatedCharCount = repeatedCharCount > 8 ? 8 : repeatedCharCount;
  132. result = count[repeatedCharCount] + char;
  133. }
  134. result = result.replace(/^ & /, "");
  135. return result;
  136. }
  137. exports.indentDecode = indentDecode;
  138. function Compress(input) {
  139. input = input.replace(/\r\n/g, '');
  140. input = input.replace(/[\t ]+/g, ' ');
  141. input = input.replace(/[ ]?([&=:\-<>\+|])[ ]?/g, '$1');
  142. return input;
  143. }
  144. function MixLetters(input) {
  145. let arr = input.split("");
  146. for (var k = 0; k < arr.length; k++) {
  147. if (arr[k] === arr[k].toUpperCase() && Math.random() > 0.5) {
  148. arr[k] = arr[k].toLowerCase();
  149. }
  150. else if (Math.random() > 0.5) {
  151. arr[k] = arr[k].toUpperCase();
  152. }
  153. }
  154. return arr.join("");
  155. }
  156. function EscapeComments(arr, comments, commentIndex) {
  157. for (var i = 0; i < arr.length; i++) {
  158. let line = arr[i];
  159. var firstCharIndex = line.regexIndexOf(/[a-zA-Z0-9\(\&\)%_\+'"|]/);
  160. var commentStartIndex = line.indexOf("--");
  161. if (firstCharIndex < commentStartIndex && firstCharIndex >= 0) {
  162. comments.push(line.substr(commentStartIndex));
  163. arr[i] = line.substr(firstCharIndex, commentStartIndex - firstCharIndex) + ILCommentPrefix + (commentIndex++);
  164. }
  165. else if ((firstCharIndex > commentStartIndex && commentStartIndex >= 0) || (firstCharIndex < 0 && commentStartIndex >= 0)) {
  166. comments.push(line.substr(commentStartIndex));
  167. arr[i] = ILCommentPrefix + (commentIndex++);
  168. }
  169. else {
  170. firstCharIndex = firstCharIndex < 0 ? 0 : firstCharIndex;
  171. arr[i] = line.substr(firstCharIndex);
  172. }
  173. }
  174. return commentIndex;
  175. }
  176. function ToLowerCases(arr) {
  177. for (var i = 0; i < arr.length; i++) {
  178. arr[i] = arr[i].toLowerCase();
  179. }
  180. }
  181. function ToCamelCases(arr) {
  182. for (var i = 0; i < arr.length; i++) {
  183. arr[i] = arr[i].charAt(0) + arr[i].slice(1).toLowerCase();
  184. }
  185. }
  186. function ReplaceKeyWords(text, keywords) {
  187. for (var k = 0; k < keywords.length; k++) {
  188. text = text.replace(new RegExp("([^a-zA-Z0-9_@]|^)" + keywords[k] + "([^a-zA-Z0-9_]|$)", 'gi'), "$1" + keywords[k] + "$2");
  189. }
  190. return text;
  191. }
  192. function SetKeywordCase(input, keywordcase, keywords, typenames) {
  193. let inputcase = keywordcase.toLowerCase();
  194. if (inputcase == "lowercase") {
  195. ToLowerCases(keywords);
  196. ToLowerCases(typenames);
  197. }
  198. else if (inputcase == "defaultcase") {
  199. ToCamelCases(keywords);
  200. ToCamelCases(typenames);
  201. }
  202. if (inputcase != "uppercase") {
  203. input = ReplaceKeyWords(input, keywords);
  204. input = ReplaceKeyWords(input, typenames);
  205. }
  206. return input;
  207. }
  208. function SetNewLinesAfterSymbols(text, newLineSettings) {
  209. if (newLineSettings == null) {
  210. return text;
  211. }
  212. if (newLineSettings.newLineAfter != null) {
  213. newLineSettings.newLineAfter.forEach(symbol => {
  214. let regex = new RegExp("(" + symbol.toUpperCase() + ")[ ]?([^ \r\n])", "g");
  215. text = text.replace(regex, '$1\r\n$2');
  216. });
  217. }
  218. if (newLineSettings.noNewLineAfter != null) {
  219. newLineSettings.noNewLineAfter.forEach(symbol => {
  220. let regex = new RegExp("(" + symbol.toUpperCase() + ")[ \r\n]+", "g");
  221. text = text.replace(regex, '$1 ');
  222. });
  223. }
  224. return text;
  225. }
  226. class BeautifierSettings {
  227. constructor(removeComments, removeReport, checkAlias, signAlign, signAlignAll, keywordCase, indentation, newLineSettings) {
  228. this.RemoveComments = removeComments;
  229. this.RemoveReport = removeReport;
  230. this.CheckAlias = checkAlias;
  231. this.SignAlign = signAlign;
  232. this.SignAlignAll = signAlignAll;
  233. this.KeywordCase = keywordCase;
  234. this.Indentation = indentation;
  235. this.NewLineSettings = newLineSettings;
  236. }
  237. }
  238. exports.BeautifierSettings = BeautifierSettings;
  239. let KeyWords = ["ABS", "ACCESS", "AFTER", "ALIAS", "ALL", "AND", "ARCHITECTURE", "ARRAY", "ASSERT", "ATTRIBUTE", "BEGIN", "BLOCK", "BODY", "BUFFER", "BUS", "CASE", "COMPONENT", "CONFIGURATION", "CONSTANT", "CONTEXT", "COVER", "DISCONNECT", "DOWNTO", "DEFAULT", "ELSE", "ELSIF", "END", "ENTITY", "EXIT", "FAIRNESS", "FILE", "FOR", "FORCE", "FUNCTION", "GENERATE", "GENERIC", "GROUP", "GUARDED", "IF", "IMPURE", "IN", "INERTIAL", "INOUT", "IS", "LABEL", "LIBRARY", "LINKAGE", "LITERAL", "LOOP", "MAP", "MOD", "NAND", "NEW", "NEXT", "NOR", "NOT", "NULL", "OF", "ON", "OPEN", "OR", "OTHERS", "OUT", "PACKAGE", "PORT", "POSTPONED", "PROCEDURE", "PROCESS", "PROPERTY", "PROTECTED", "PURE", "RANGE", "RECORD", "REGISTER", "REJECT", "RELEASE", "REM", "REPORT", "RESTRICT", "RESTRICT_GUARANTEE", "RETURN", "ROL", "ROR", "SELECT", "SEQUENCE", "SEVERITY", "SHARED", "SIGNAL", "SLA", "SLL", "SRA", "SRL", "STRONG", "SUBTYPE", "THEN", "TO", "TRANSPORT", "TYPE", "UNAFFECTED", "UNITS", "UNTIL", "USE", "VARIABLE", "VMODE", "VPROP", "VUNIT", "WAIT", "WHEN", "WHILE", "WITH", "XNOR", "XOR"];
  240. let TypeNames = ["BOOLEAN", "BIT", "CHARACTER", "INTEGER", "TIME", "NATURAL", "POSITIVE", "STRING"];
  241. function beautify(input, settings) {
  242. input = RemoveExtraNewLines(input);
  243. input = input.replace(/[\t ]+/g, ' ');
  244. input = input.replace(/\([\t ]+/g, '\(');
  245. input = input.replace(/[ ]+;/g, ';');
  246. input = input.replace(/:[ ]*(PROCESS|ENTITY)/gi, ':$1');
  247. var arr = input.split("\r\n");
  248. var comments = [], commentsIndex = 0;
  249. commentsIndex = EscapeComments(arr, comments, commentsIndex);
  250. input = arr.join("\r\n");
  251. if (settings.RemoveComments) {
  252. input = input.replace(/@@comments[0-9]+/g, '');
  253. }
  254. var quotes = [], quotesIndex = 0;
  255. var singleline = [], singlelineIndex = 0;
  256. var align = [], align_max = [], align_i1 = 0, align_i = 0;
  257. var str = "", str1 = "";
  258. var p = 0;
  259. var n = 0, j = 0;
  260. var tab_n = 0, str_len = 0, port_s = "";
  261. var back_tab = false, forward_tab = false, need_semi = false, semi_pos = 0, begin_b = true, port_b = false;
  262. input = ReplaceKeyWords(input, KeyWords);
  263. input = ReplaceKeyWords(input, TypeNames);
  264. input = input.replace(/(PORT|PROCESS|GENERIC)[\s]*\(/g, '$1 (');
  265. input = SetNewLinesAfterSymbols(input, settings.NewLineSettings);
  266. arr = input.split("\r\n");
  267. var l = arr.length;
  268. var before_begin = true;
  269. for (i = 0; i < l; i++) {
  270. let k4 = arr[i].match(/"([^"]+)"/g);
  271. if (k4 != null) {
  272. var u = k4.length;
  273. for (var j = 0; j < u; j++) {
  274. arr[i] = arr[i].replace(k4[j], "@@quotes" + quotesIndex);
  275. quotes[quotesIndex++] = k4[j];
  276. }
  277. }
  278. if (arr[i].indexOf("BEGIN") >= 0) {
  279. before_begin = false;
  280. }
  281. if (settings.RemoveReport) {
  282. n = arr[i].indexOf("REPORT ");
  283. p = arr[i].indexOf(";");
  284. if (need_semi) {
  285. arr[i] = '';
  286. if (p >= 0) {
  287. need_semi = false;
  288. }
  289. }
  290. if (n >= 0) {
  291. arr[i] = '';
  292. if (p < 0) {
  293. need_semi = true;
  294. }
  295. }
  296. else if (n < 0) {
  297. n = arr[i].indexOf("ASSERT ");
  298. if (n >= 0) {
  299. arr[i] = '';
  300. if (p < 0) {
  301. need_semi = true;
  302. }
  303. }
  304. }
  305. }
  306. if (arr[i].match(/FUNCTION|PROCEDURE/) != null) {
  307. arr[i] = arr[i].replace(/;/g, '@@semicolon');
  308. }
  309. if (port_s) {
  310. port_s += arr[i];
  311. var k_port = port_s.split("(").length;
  312. if (k_port == port_s.split(")").length) {
  313. arr[i] = arr[i] + "@@end";
  314. port_s = "";
  315. port_b = false;
  316. }
  317. }
  318. if ((!port_b && arr[i].regexIndexOf(/(\s|\(|^)(PORT|GENERIC|PROCESS|PROCEDURE)(\s|\(|$)/) >= 0) || (arr[i].regexIndexOf(/:[ ]?=[ ]?\(/) >= 0 && before_begin)) {
  319. port_b = true;
  320. port_s = arr[i];
  321. var k_port = port_s.split("(").length;
  322. if (k_port == 1) {
  323. port_b = false;
  324. port_s = "";
  325. }
  326. else if (k_port == port_s.split(")").length) {
  327. port_s = "";
  328. port_b = false;
  329. arr[i] = arr[i] + "@@singleend";
  330. }
  331. else {
  332. arr[i] = arr[i].replace(/(PORT|GENERIC|PROCEDURE)([a-z0-9A-Z_ ]+)\(([a-zA-Z0-9_\(\) ]+)/, '$1$2(\r\n$3');
  333. }
  334. }
  335. /*if (!new_line) {
  336. if (arr[i].regexIndexOf(/(;|THEN)[ a-z0-9]+[a-z0-9]+/) >= 0) {
  337. singleline[singlelineIndex] = arr[i];
  338. arr[i] = "@@singleline" + singlelineIndex++;
  339. }
  340. }*/
  341. }
  342. input = arr.join("\r\n");
  343. input = input.replace(/([a-zA-Z0-9\); ])\);(@@comments[0-9]+)?@@end/g, '$1\r\n);$2@@end');
  344. input = input.replace(/[ ]?([&=:\-<>\+|\*])[ ]?/g, ' $1 ');
  345. input = input.replace(/[ ]?([,])[ ]?/g, '$1 ');
  346. input = input.replace(/[ ]?(['"])(THEN)/g, '$1 $2');
  347. input = input.replace(/[ ]?(\?)?[ ]?(<|:|>|\/)?[ ]+(=)?[ ]?/g, ' $1$2$3 ');
  348. input = input.replace(/(IF)[ ]?([\(\)])/g, '$1 $2');
  349. input = input.replace(/([\(\)])[ ]?(THEN)/gi, '$1 $2');
  350. input = input.replace(/(^|[\(\)])[ ]?(AND|OR|XOR|XNOR)[ ]*([\(])/g, '$1 $2 $3');
  351. input = input.replace(/ ([\-\*\/=+<>])[ ]*([\-\*\/=+<>]) /g, " $1$2 ");
  352. input = input.replace(/\r\n[ \t]+--\r\n/g, "\r\n");
  353. input = input.replace(/[ ]+/g, ' ');
  354. input = input.replace(/\r\n\r\n\r\n/g, '\r\n');
  355. input = input.replace(/[\r\n\s]+$/g, '');
  356. input = input.replace(/[ \t]+\)/g, ')');
  357. //if (remove_lines) {
  358. // input = input.replace(/(\r\n)*[ \t]*\r\n/g, '\r\n');
  359. //}
  360. var matches = input.match(/'([a-zA-Z]+)\s/g);
  361. if (matches != null) {
  362. for (var k2 = 0; k2 < matches.length; k2++) {
  363. input = input.replace(matches[k2], matches[k2].toUpperCase());
  364. }
  365. }
  366. input = input.replace(/(MAP)[ \r\n]+\(/g, '$1(');
  367. input = input.replace(/(;|THEN)[ ]?(@@comments[0-9]+)([a-zA-Z])/g, '$1 $2\r\n$3');
  368. input = input.replace(/[\r\n ]+RETURN/g, ' RETURN');
  369. input = input.replace(/BEGIN[\r\n ]+/g, 'BEGIN\r\n');
  370. input = input.replace(/ (PORT|GENERIC) /g, '\r\n$1 ');
  371. if (settings.CheckAlias) {
  372. var alias = [], subarr = [], o = 0, p = 0, p2 = 0, l2 = 0, i2 = 0;
  373. arr = input.split("ARCHITECTURE ");
  374. l = arr.length;
  375. for (i = 0; i < l; i++) {
  376. subarr = arr[i].split("ALIAS ");
  377. l2 = subarr.length;
  378. if (l2 > 1) {
  379. o = 0;
  380. for (i2 = 1; i2 < l2; i2++) {
  381. o = subarr[i2].indexOf(";", n);
  382. str = subarr[i2].substring(0, o);
  383. alias[p2++] = str.split(" IS ");
  384. }
  385. i2--;
  386. var str2 = subarr[i2].substr(o);
  387. for (p = 0; p < p2; p++) {
  388. var reg = new RegExp(alias[p][1], 'gi');
  389. str2 = str2.replace(reg, alias[p][0]);
  390. }
  391. subarr[i2] = subarr[i2].substring(0, o) + str2;
  392. }
  393. arr[i] = subarr.join("ALIAS ");
  394. }
  395. input = arr.join("ARCHITECTURE ");
  396. }
  397. arr = input.split("\r\n");
  398. l = arr.length;
  399. var signAlignPos = "";
  400. var if_b = 0, white_space = "", case_b = false, case_n = 0, procfun_b = false, semi_b = false, set_false = false, entity_b = false, then_b = false, conditional_b = false, generic_map_b = false, architecture_begin_b = false, process_begin_b = false, case_indent = [0, 0, 0, 0, 0, 0, 0];
  401. var align_groups = [], align_groups_max = [], lastAlignedSign = "", current_align_group = 0, aligned_group_starts = 0;
  402. var indent_start = [];
  403. for (i = 0; i < l; i++) {
  404. str = arr[i];
  405. str_len = str.length;
  406. if (str.replace(/[ \-\t]*/, "").length > 0) {
  407. var first_word = str.split(/[^\w]/)[0];
  408. var indent_start_last = indent_start.length == 0 ? 0 : indent_start[indent_start.length - 1];
  409. if (then_b) {
  410. arr[i] = " " + arr[i];
  411. if (str.indexOf(" THEN") >= 0) {
  412. then_b = false;
  413. back_tab = true;
  414. }
  415. }
  416. arr[i] = white_space + arr[i];
  417. if (first_word == "ELSIF") {
  418. tab_n = indent_start_last - 1;
  419. indent_start.pop();
  420. back_tab = true;
  421. }
  422. else if (str.indexOf("END CASE") == 0) {
  423. indent_start.pop();
  424. case_n--;
  425. tab_n = indent_start[indent_start.length - 1];
  426. }
  427. else if (first_word == "END") {
  428. tab_n = indent_start_last - 1;
  429. indent_start.pop();
  430. if (str.indexOf("END IF") == 0) {
  431. if_b--;
  432. }
  433. if (i == l - 1) {
  434. tab_n = 1;
  435. }
  436. }
  437. else if (first_word == "ELSE" && if_b) {
  438. tab_n = indent_start_last - 1;
  439. indent_start.pop();
  440. back_tab = true;
  441. }
  442. else if (case_n) {
  443. if (first_word == "WHEN") {
  444. tab_n = case_indent[case_n - 1];
  445. //back_tab = true;
  446. }
  447. }
  448. else if (first_word == "BEGIN") {
  449. if (begin_b) {
  450. if (architecture_begin_b) {
  451. tab_n = indent_start_last - 1;
  452. architecture_begin_b = false;
  453. }
  454. else if (process_begin_b) {
  455. tab_n = indent_start_last - 1;
  456. process_begin_b = false;
  457. }
  458. else {
  459. tab_n = indent_start_last;
  460. indent_start.push(tab_n + 1);
  461. }
  462. //indent_start.pop();
  463. back_tab = true;
  464. begin_b = false;
  465. if (procfun_b) {
  466. tab_n++;
  467. indent_start.push(tab_n);
  468. begin_b = true;
  469. }
  470. }
  471. else {
  472. back_tab = true;
  473. }
  474. }
  475. else if (first_word == "PROCESS") {
  476. begin_b = true;
  477. }
  478. else if (str.indexOf(": PROCESS") >= 0) {
  479. back_tab = true;
  480. begin_b = true;
  481. process_begin_b = true;
  482. }
  483. else if (str.indexOf(": ENTITY") >= 0) {
  484. back_tab = true;
  485. entity_b = true;
  486. }
  487. else if (str.indexOf("PROCEDURE ") >= 0) {
  488. back_tab = true;
  489. begin_b = true;
  490. }
  491. if (port_b && str.indexOf("@@") < 0 && arr[i + 1].indexOf("@@") < 0) {
  492. if (signAlignPos == ":") {
  493. if (str.indexOf(';') < 0) {
  494. arr[i] += arr[i + 1];
  495. arr[i + 1] = '@@removeline';
  496. }
  497. }
  498. else if (signAlignPos == "=>") {
  499. if (str.indexOf(',') < 0) {
  500. arr[i] += arr[i + 1];
  501. arr[i + 1] = '@@removeline';
  502. }
  503. }
  504. }
  505. if (str.indexOf("PORT MAP") >= 0) {
  506. back_tab = true;
  507. port_b = true;
  508. if (str.indexOf(");") < 0) {
  509. align_i1 = align_i;
  510. var t = str.indexOf("=>");
  511. if (t >= 0) {
  512. signAlignPos = "=>";
  513. }
  514. else {
  515. t = arr[i + 1].indexOf("=>");
  516. if (t >= 0) {
  517. signAlignPos = "=>";
  518. }
  519. }
  520. }
  521. else {
  522. signAlignPos = "";
  523. }
  524. }
  525. else if (str.indexOf("GENERIC MAP") >= 0) {
  526. tab_n++;
  527. indent_start.push(tab_n);
  528. generic_map_b = true;
  529. if (!begin_b) {
  530. back_tab = false;
  531. }
  532. }
  533. else if (str.indexOf("PORT (") >= 0 && begin_b) {
  534. back_tab = true;
  535. port_b = true;
  536. t = str.indexOf(":");
  537. if (str.indexOf(");") < 0) {
  538. align_i1 = align_i;
  539. if (t >= 0) {
  540. signAlignPos = ":";
  541. }
  542. else {
  543. t = arr[i + 1].indexOf(":");
  544. if (t >= 0) {
  545. signAlignPos = ":";
  546. }
  547. }
  548. }
  549. else {
  550. signAlignPos = "";
  551. }
  552. }
  553. if (set_false) {
  554. procfun_b = false;
  555. set_false = false;
  556. }
  557. if (str.indexOf("(") >= 0) {
  558. if (str.indexOf("PROCEDURE") >= 0 || str.indexOf("FUNCTION") >= 0) {
  559. procfun_b = true;
  560. back_tab = true;
  561. }
  562. if ((str.indexOf("GENERIC") >= 0 || str.indexOf(":= (") >= 0 || str.regexIndexOf(/PROCEDURE[a-zA-Z0-9_ ]+\(/) >= 0) && begin_b) {
  563. port_b = true;
  564. back_tab = true;
  565. }
  566. }
  567. else if (first_word == "FUNCTION") {
  568. back_tab = true;
  569. begin_b = true;
  570. }
  571. if (str.indexOf("@@singleend") >= 0) {
  572. back_tab = false;
  573. port_b = false;
  574. if (!begin_b) {
  575. forward_tab = true;
  576. }
  577. }
  578. else if (str.indexOf("@@end") >= 0 && port_b) {
  579. port_b = false;
  580. indent_start.pop();
  581. tab_n = indent_start[indent_start.length - 1];
  582. if (entity_b) {
  583. forward_tab = true;
  584. }
  585. if (generic_map_b) {
  586. forward_tab = true;
  587. generic_map_b = false;
  588. }
  589. }
  590. if (settings.SignAlignAll) {
  591. var alignedSigns = [":", "<=", "=>"];
  592. for (var currentSign = 0; currentSign < alignedSigns.length; currentSign++) {
  593. if (str.indexOf(alignedSigns[currentSign]) > 0) {
  594. var char_before_sign = str.split(alignedSigns[currentSign])[0];
  595. var char_before_sign_length = char_before_sign.length;
  596. align_groups.push(char_before_sign_length);
  597. align_groups_max.push(char_before_sign_length);
  598. if (alignedSigns[currentSign] == lastAlignedSign) {
  599. if (align_groups_max[current_align_group - 1] < char_before_sign_length) {
  600. for (var k3 = aligned_group_starts; k3 <= current_align_group; k3++) {
  601. align_groups_max[k3] = char_before_sign_length;
  602. }
  603. }
  604. else {
  605. align_groups_max[current_align_group] = align_groups_max[current_align_group - 1];
  606. }
  607. }
  608. else {
  609. aligned_group_starts = current_align_group;
  610. }
  611. arr[i] = char_before_sign + "@@alignall" + (current_align_group++) + str.substring(char_before_sign.length, arr[i].length);
  612. lastAlignedSign = alignedSigns[currentSign];
  613. break;
  614. }
  615. }
  616. if (currentSign == alignedSigns.length) {
  617. lastAlignedSign = "";
  618. }
  619. }
  620. else if (settings.SignAlign) {
  621. if (port_b && signAlignPos != "") {
  622. if (str.indexOf(signAlignPos) >= 0) {
  623. var a1 = arr[i].split(signAlignPos);
  624. var l1 = a1[0].length;
  625. if (align_i >= 0 && align_i > align_i1) {
  626. align_max[align_i] = align_max[align_i - 1];
  627. }
  628. else {
  629. align_max[align_i] = l1;
  630. }
  631. if (align_i > align_i1 && align_max[align_i] < l1) {
  632. for (var k3 = align_i1; k3 <= align_i; k3++) {
  633. align_max[k3] = l1;
  634. }
  635. }
  636. align[align_i] = l1;
  637. arr[i] = a1[0] + "@@align" + (align_i++) + signAlignPos + arr[i].substring(l1 + signAlignPos.length, arr[i].length);
  638. }
  639. }
  640. }
  641. tab_n = tab_n < 1 ? 1 : tab_n;
  642. if (str_len) {
  643. if (isTesting) {
  644. console.log(tab_n, arr[i], indent_start);
  645. }
  646. arr[i] = (Array(tab_n).join(settings.Indentation)) + arr[i]; //indent
  647. /*if (new_line_after_port) {
  648. if (str.indexOf('@@singleend') < 0) {
  649. arr[i] = arr[i].replace(/(PORT|GENERIC)([ \w]*)\(/, "$1$2\r\n" + (Array(tab_n).join(indentation)) + "(");
  650. }
  651. }*/
  652. }
  653. if (back_tab) {
  654. tab_n++;
  655. indent_start.push(tab_n);
  656. back_tab = false;
  657. }
  658. if (forward_tab) {
  659. tab_n = indent_start_last;
  660. indent_start.pop();
  661. forward_tab = false;
  662. }
  663. if (conditional_b && str.indexOf(";") >= 0) {
  664. conditional_b = false;
  665. white_space = "";
  666. }
  667. else if (str.indexOf(";") >= 0 && semi_b) {
  668. semi_b = false;
  669. tab_n = indent_start_last;
  670. indent_start.pop();
  671. }
  672. else if (!semi_b && str.indexOf(";") < 0 && !port_b) {
  673. if (!conditional_b) {
  674. if (str.indexOf("WHEN") > 3 && str.indexOf("<=") > 1) {
  675. conditional_b = true;
  676. white_space = (Array(str.indexOf("= ") + 3).join(" "));
  677. }
  678. else if (first_word == "WHEN" && i + 1 < arr.length && arr[i + 1].indexOf("WHEN") < 0) {
  679. tab_n = indent_start_last + 1;
  680. }
  681. else if (str.indexOf("=>") < 0 && ((str.indexOf("@@quotes") >= 0 && str.indexOf("= @@quotes") < 0 && str.indexOf("IF") < 0) || (str.indexOf("<=") > 0 && str.indexOf("IF") < 0 && str.indexOf("THEN") < 0))) {
  682. tab_n++;
  683. indent_start.push(tab_n);
  684. semi_b = true;
  685. }
  686. }
  687. }
  688. if (first_word == "ENTITY") {
  689. tab_n++;
  690. indent_start.push(tab_n);
  691. }
  692. else if (",RECORD,PACKAGE,FOR,COMPONENT,CONFIGURATION,".indexOf("," + first_word + ",") >= 0) {
  693. tab_n++;
  694. indent_start.push(tab_n);
  695. }
  696. else if (str.indexOf(": FOR ") >= 0) {
  697. tab_n++;
  698. indent_start.push(tab_n);
  699. }
  700. else if (first_word == "CASE" || str.indexOf(": CASE") >= 0) {
  701. tab_n++;
  702. indent_start.push(tab_n);
  703. case_indent[case_n] = tab_n;
  704. case_n++;
  705. }
  706. else if (first_word == "ARCHITECTURE") {
  707. tab_n++;
  708. indent_start.push(tab_n);
  709. begin_b = true;
  710. architecture_begin_b = true;
  711. }
  712. else if (first_word == "IF") {
  713. if_b++;
  714. tab_n++;
  715. indent_start.push(tab_n);
  716. if (str.indexOf(" THEN") < 0) {
  717. then_b = true;
  718. tab_n = indent_start_last;
  719. //indent_start.pop();
  720. }
  721. }
  722. if (procfun_b) {
  723. if (str.regexIndexOf(/(\))|(RETURN [A-Za-z0-9 ]+)[\r\n ]+IS/) >= 0) {
  724. tab_n = indent_start_last;
  725. indent_start.pop();
  726. set_false = true;
  727. }
  728. }
  729. }
  730. }
  731. input = arr.join("\r\n");
  732. input = input.replace(/[\t]*@@removeline\r\n/g, '');
  733. p = input.indexOf('PROCESS');
  734. while (p >= 0) {
  735. let nextBracket = input.indexOf('(', p);
  736. let nextNewLine = input.indexOf('\r\n', p);
  737. let nextCloseBracket = input.indexOf(')', nextBracket);
  738. if (nextBracket < nextNewLine && nextCloseBracket > nextNewLine) {
  739. let processArray = input.substring(p, nextCloseBracket).split('\r\n');
  740. if (settings.Indentation.replace(/[ ]+/g, '').length == 0) {
  741. for (var i = 1; i < processArray.length; i++) {
  742. processArray[i] = (Array(nextBracket - p + 2).join(' ')) + processArray[i];
  743. }
  744. }
  745. else {
  746. for (var i = 1; i < processArray.length; i++) {
  747. processArray[i] = settings.Indentation + processArray[i];
  748. }
  749. }
  750. input = input.substring(0, p) + processArray.join('\r\n') + input.substring(nextCloseBracket, input.length);
  751. p = input.regexIndexOf('PROCESS[ ]+\\(', nextCloseBracket);
  752. }
  753. else {
  754. p = input.indexOf('PROCESS[ ]+\\(', p + 7);
  755. }
  756. }
  757. input = SetKeywordCase(input, settings.KeywordCase, KeyWords, TypeNames);
  758. if (settings.SignAlignAll) {
  759. for (var k = 0; k < current_align_group; k++) {
  760. input = input.replace("@@alignall" + k, Array((align_groups_max[k] - align_groups[k] + 1)).join(" "));
  761. }
  762. }
  763. if (settings.SignAlign) {
  764. for (var k = 0; k < align_i; k++) {
  765. input = input.replace("@@align" + k, Array((align_max[k] - align[k] + 2)).join(" "));
  766. }
  767. }
  768. for (var k = 0; k < quotesIndex; k++) {
  769. input = input.replace("@@quotes" + k, quotes[k]);
  770. }
  771. for (var k = 0; k < singlelineIndex; k++) {
  772. input = input.replace("@@singleline" + k, singleline[k]);
  773. }
  774. for (var k = 0; k < commentsIndex; k++) {
  775. input = input.replace(ILCommentPrefix + k, comments[k]);
  776. }
  777. input = input.replace(/@@semicolon/g, ";");
  778. input = input.replace(/@@[a-z]+/g, "");
  779. return input;
  780. }
  781. exports.beautify = beautify;
  782. function RemoveExtraNewLines(input) {
  783. input = input.replace(/(?:\r\n|\r|\n)/g, '\r\n');
  784. input = input.replace(/ \r\n/g, '\r\n');
  785. input = input.replace(/\r\n\r\n\r\n/g, '\r\n');
  786. return input;
  787. }
  788. //# sourceMappingURL=VHDLFormatter.js.map