You can not select more than 25 topics Topics must start with a letter or number, can include dashes ('-') and can be up to 35 characters long.

844 lines
31 KiB

6 years ago
  1. let isTesting = false;
  2. const ILCommentPrefix = "@@comments";
  3. export class NewLineSettings {
  4. newLineAfter: Array<string>;
  5. noNewLineAfter: Array<string>;
  6. constructor() {
  7. this.newLineAfter = [];
  8. this.noNewLineAfter = [];
  9. }
  10. newLineAfterPush(keyword: string) {
  11. this.newLineAfter.push(keyword);
  12. }
  13. noNewLineAfterPush(keyword: string) {
  14. this.noNewLineAfter.push(keyword);
  15. }
  16. push(keyword: string, addNewLine: boolean) {
  17. if (addNewLine) {
  18. this.newLineAfterPush(keyword);
  19. }
  20. else {
  21. this.noNewLineAfterPush(keyword);
  22. }
  23. }
  24. }
  25. function ConstructNewLineSettings(dict): NewLineSettings {
  26. let settings: NewLineSettings = new NewLineSettings();
  27. for (let key in dict) {
  28. settings.push(key, dict[key]);
  29. }
  30. return settings;
  31. }
  32. function fetchHeader(url, wch) {
  33. try {
  34. var req = new XMLHttpRequest();
  35. req.open("HEAD", url, false);
  36. req.send(null);
  37. if (req.status == 200) {
  38. return req.getResponseHeader(wch);
  39. }
  40. else return false;
  41. } catch (e) {
  42. return "";
  43. }
  44. }
  45. declare global {
  46. interface String {
  47. regexIndexOf: (pattern: RegExp, startIndex?: number) => number;
  48. regexLastIndexOf: (pattern: RegExp, startIndex: number) => number;
  49. reverse: () => string;
  50. }
  51. }
  52. String.prototype.regexIndexOf = function (pattern, startIndex) {
  53. startIndex = startIndex || 0;
  54. var searchResult = this.substr(startIndex).search(pattern);
  55. return (-1 === searchResult) ? -1 : searchResult + startIndex;
  56. }
  57. String.prototype.regexLastIndexOf = function (pattern, startIndex) {
  58. startIndex = startIndex === undefined ? this.length : startIndex;
  59. var searchResult = this.substr(0, startIndex).reverse().regexIndexOf(pattern, 0);
  60. return (-1 === searchResult) ? -1 : this.length - ++searchResult;
  61. }
  62. String.prototype.reverse = function () {
  63. return this.split('').reverse().join('');
  64. }
  65. function wordWrap() {
  66. var d = document.getElementById("result");
  67. if (d.className == "") {
  68. d.className = "wordwrap";
  69. } else {
  70. d.className = "";
  71. }
  72. }
  73. function getHTMLInputElement(name: string): HTMLInputElement {
  74. return <HTMLInputElement>document.getElementById(name);
  75. }
  76. function noFormat() {
  77. let elements: Array<string> = ["remove_comments",
  78. "remove_lines",
  79. "remove_report",
  80. "check_alias",
  81. "sign_align",
  82. "sign_align_all",
  83. "new_line_after_port",
  84. "new_line",
  85. "use_space",
  86. "compress",
  87. "mix_letter"];
  88. var t = !(getHTMLInputElement("remove_comments").disabled);
  89. elements.forEach(element => {
  90. getHTMLInputElement(element).disabled = t;
  91. });
  92. let keyword = <HTMLFormElement>document.getElementById("keyword");
  93. for (let i = 0; i < keyword.elements.length; i++) {
  94. (<HTMLInputElement>keyword.elements[i]).disabled = t;
  95. }
  96. }
  97. function indent_decode() {
  98. var custom_indent: string = getHTMLInputElement("cust_indent").value;
  99. var result: string = indentDecode(custom_indent);
  100. document.getElementById("indent_s").innerHTML = result;
  101. }
  102. export function indentDecode(input: string): string {
  103. input = input.replace(/\\t/g, " ");
  104. var count = [" & one ", " & two ", " & three ", " & four ", " & five ", " & six ", " & seven ", " & eight ", " & many "];
  105. var tokens: Array<string> = input.split("");
  106. var result = "";
  107. var repeatedCharCount = 0;
  108. for (var i = 0; i < tokens.length; i++) {
  109. var char = input.substr(i, 1);
  110. if (char == input.substr(i + 1, 1)) {
  111. repeatedCharCount++;
  112. } else {
  113. switch (char) {
  114. case " ":
  115. char = "blankspace";
  116. break;
  117. case "\t":
  118. char = "tab";
  119. }
  120. repeatedCharCount = repeatedCharCount > 8 ? 8 : repeatedCharCount;
  121. result += count[repeatedCharCount] + char;
  122. repeatedCharCount = 0;
  123. }
  124. }
  125. if (result.length < 0) {
  126. switch (char) {
  127. case " ":
  128. char = "blankspace";
  129. break;
  130. case "\t":
  131. char = "tab";
  132. }
  133. repeatedCharCount = repeatedCharCount > 8 ? 8 : repeatedCharCount;
  134. result = count[repeatedCharCount] + char;
  135. }
  136. result = result.replace(/^ & /, "")
  137. return result;
  138. }
  139. function Compress(input: string) {
  140. input = input.replace(/\r\n/g, '');
  141. input = input.replace(/[\t ]+/g, ' ');
  142. input = input.replace(/[ ]?([&=:\-<>\+|])[ ]?/g, '$1');
  143. return input;
  144. }
  145. function MixLetters(input: string) {
  146. let arr = input.split("");
  147. for (var k = 0; k < arr.length; k++) {
  148. if (arr[k] === arr[k].toUpperCase() && Math.random() > 0.5) {
  149. arr[k] = arr[k].toLowerCase();
  150. } else if (Math.random() > 0.5) {
  151. arr[k] = arr[k].toUpperCase();
  152. }
  153. }
  154. return arr.join("");
  155. }
  156. function EscapeComments(arr: Array<string>, comments: Array<string>, commentIndex: number): number {
  157. for (var i = 0; i < arr.length; i++) {
  158. let line: string = arr[i];
  159. var firstCharIndex = line.regexIndexOf(/[a-zA-Z0-9\(\&\)%_\+'"|]/);
  160. var commentStartIndex = line.indexOf("--");
  161. if (firstCharIndex < commentStartIndex && firstCharIndex >= 0) {
  162. comments.push(line.substr(commentStartIndex));
  163. arr[i] = line.substr(firstCharIndex, commentStartIndex - firstCharIndex) + ILCommentPrefix + (commentIndex++);
  164. } else if ((firstCharIndex > commentStartIndex && commentStartIndex >= 0) || (firstCharIndex < 0 && commentStartIndex >= 0)) {
  165. comments.push(line.substr(commentStartIndex));
  166. arr[i] = ILCommentPrefix + (commentIndex++);
  167. } else {
  168. firstCharIndex = firstCharIndex < 0 ? 0 : firstCharIndex;
  169. arr[i] = line.substr(firstCharIndex);
  170. }
  171. }
  172. return commentIndex
  173. }
  174. function ToLowerCases(arr: Array<string>) {
  175. for (var i = 0; i < arr.length; i++) {
  176. arr[i] = arr[i].toLowerCase();
  177. }
  178. }
  179. function ToCamelCases(arr: Array<string>) {
  180. for (var i = 0; i < arr.length; i++) {
  181. arr[i] = arr[i].charAt(0) + arr[i].slice(1).toLowerCase();
  182. }
  183. }
  184. function ReplaceKeyWords(text: string, keywords: Array<string>): string {
  185. for (var k = 0; k < keywords.length; k++) {
  186. text = text.replace(new RegExp("([^a-zA-Z0-9_@]|^)" + keywords[k] + "([^a-zA-Z0-9_]|$)", 'gi'), "$1" + keywords[k] + "$2");
  187. }
  188. return text;
  189. }
  190. function SetKeywordCase(input: string, keywordcase: string, keywords, typenames) {
  191. let inputcase: string = keywordcase.toLowerCase();
  192. if (inputcase == "lowercase") {
  193. ToLowerCases(keywords);
  194. ToLowerCases(typenames);
  195. } else if (inputcase == "defaultcase") {
  196. ToCamelCases(keywords);
  197. ToCamelCases(typenames);
  198. }
  199. if (inputcase != "uppercase") {
  200. input = ReplaceKeyWords(input, keywords);
  201. input = ReplaceKeyWords(input, typenames);
  202. }
  203. return input;
  204. }
  205. function SetNewLinesAfterSymbols(text: string, newLineSettings: NewLineSettings): string {
  206. if (newLineSettings == null) {
  207. return text;
  208. }
  209. if (newLineSettings.newLineAfter != null) {
  210. newLineSettings.newLineAfter.forEach(symbol => {
  211. let regex: RegExp = new RegExp("(" + symbol.toUpperCase() + ")[ ]?([^ \r\n])", "g");
  212. text = text.replace(regex, '$1\r\n$2');
  213. });
  214. }
  215. if (newLineSettings.noNewLineAfter != null) {
  216. newLineSettings.noNewLineAfter.forEach(symbol => {
  217. let regex: RegExp = new RegExp("(" + symbol.toUpperCase() + ")[ \r\n]+", "g");
  218. text = text.replace(regex, '$1 ');
  219. });
  220. }
  221. return text;
  222. }
  223. export class BeautifierSettings {
  224. RemoveComments: boolean;
  225. RemoveReport: boolean;
  226. CheckAlias: boolean;
  227. SignAlign: boolean;
  228. SignAlignAll: boolean;
  229. KeywordCase: string;
  230. Indentation: string;
  231. NewLineSettings: NewLineSettings
  232. constructor(removeComments: boolean, removeReport: boolean, checkAlias: boolean,
  233. signAlign: boolean, signAlignAll: boolean, keywordCase: string, indentation: string,
  234. newLineSettings: NewLineSettings) {
  235. this.RemoveComments = removeComments;
  236. this.RemoveReport = removeReport;
  237. this.CheckAlias = checkAlias;
  238. this.SignAlign = signAlign;
  239. this.SignAlignAll = signAlignAll;
  240. this.KeywordCase = keywordCase;
  241. this.Indentation = indentation;
  242. this.NewLineSettings = newLineSettings;
  243. }
  244. }
  245. let KeyWords: Array<string> = ["ABS", "ACCESS", "AFTER", "ALIAS", "ALL", "AND", "ARCHITECTURE", "ARRAY", "ASSERT", "ATTRIBUTE", "BEGIN", "BLOCK", "BODY", "BUFFER", "BUS", "CASE", "COMPONENT", "CONFIGURATION", "CONSTANT", "CONTEXT", "COVER", "DISCONNECT", "DOWNTO", "DEFAULT", "ELSE", "ELSIF", "END", "ENTITY", "EXIT", "FAIRNESS", "FILE", "FOR", "FORCE", "FUNCTION", "GENERATE", "GENERIC", "GROUP", "GUARDED", "IF", "IMPURE", "IN", "INERTIAL", "INOUT", "IS", "LABEL", "LIBRARY", "LINKAGE", "LITERAL", "LOOP", "MAP", "MOD", "NAND", "NEW", "NEXT", "NOR", "NOT", "NULL", "OF", "ON", "OPEN", "OR", "OTHERS", "OUT", "PACKAGE", "PORT", "POSTPONED", "PROCEDURE", "PROCESS", "PROPERTY", "PROTECTED", "PURE", "RANGE", "RECORD", "REGISTER", "REJECT", "RELEASE", "REM", "REPORT", "RESTRICT", "RESTRICT_GUARANTEE", "RETURN", "ROL", "ROR", "SELECT", "SEQUENCE", "SEVERITY", "SHARED", "SIGNAL", "SLA", "SLL", "SRA", "SRL", "STRONG", "SUBTYPE", "THEN", "TO", "TRANSPORT", "TYPE", "UNAFFECTED", "UNITS", "UNTIL", "USE", "VARIABLE", "VMODE", "VPROP", "VUNIT", "WAIT", "WHEN", "WHILE", "WITH", "XNOR", "XOR"];
  246. let TypeNames: Array<string> = ["BOOLEAN", "BIT", "CHARACTER", "INTEGER", "TIME", "NATURAL", "POSITIVE", "STRING"];
  247. export function beautify(input: string, settings: BeautifierSettings) {
  248. input = RemoveExtraNewLines(input);
  249. input = input.replace(/[\t ]+/g, ' ');
  250. input = input.replace(/\([\t ]+/g, '\(');
  251. input = input.replace(/[ ]+;/g, ';');
  252. input = input.replace(/:[ ]*(PROCESS|ENTITY)/gi, ':$1');
  253. var arr = input.split("\r\n");
  254. var comments = [],
  255. commentsIndex = 0;
  256. commentsIndex = EscapeComments(arr, comments, commentsIndex);
  257. input = arr.join("\r\n");
  258. if (settings.RemoveComments) {
  259. input = input.replace(/@@comments[0-9]+/g, '');
  260. }
  261. input = ReplaceKeyWords(input, KeyWords);
  262. input = ReplaceKeyWords(input, TypeNames);
  263. input = input.replace(/(PORT|PROCESS|GENERIC)[\s]*\(/g, '$1 (');
  264. input = SetNewLinesAfterSymbols(input, settings.NewLineSettings);
  265. input = beautify2(input, settings);
  266. for (var k = 0; k < commentsIndex; k++) {
  267. input = input.replace(ILCommentPrefix + k, comments[k]);
  268. }
  269. input = input.replace(/@@semicolon/g, ";");
  270. input = input.replace(/@@[a-z]+/g, "");
  271. return input;
  272. }
  273. function beautify2(input, settings: BeautifierSettings): string {
  274. var quotes = [],
  275. quotesIndex = 0;
  276. var singleline = [],
  277. singlelineIndex = 0;
  278. var align = [],
  279. align_max = [],
  280. align_i1 = 0,
  281. align_i = 0;
  282. var str = "",
  283. str1 = "";
  284. var p = 0;
  285. var n = 0,
  286. j = 0;
  287. var tab_n = 0,
  288. str_len = 0,
  289. port_s = "";
  290. var back_tab = false,
  291. forward_tab = false,
  292. need_semi = false,
  293. semi_pos = 0,
  294. begin_b = true,
  295. port_b = false;
  296. let arr = input.split("\r\n");
  297. var l = arr.length;
  298. var before_begin = true;
  299. for (i = 0; i < l; i++) {
  300. let k4 = arr[i].match(/"([^"]+)"/g);
  301. if (k4 != null) {
  302. var u = k4.length;
  303. for (var j = 0; j < u; j++) {
  304. arr[i] = arr[i].replace(k4[j], "@@quotes" + quotesIndex);
  305. quotes[quotesIndex++] = k4[j];
  306. }
  307. }
  308. if (arr[i].indexOf("BEGIN") >= 0) {
  309. before_begin = false;
  310. }
  311. if (settings.RemoveReport) {
  312. n = arr[i].indexOf("REPORT ");
  313. p = arr[i].indexOf(";");
  314. if (need_semi) {
  315. arr[i] = '';
  316. if (p >= 0) {
  317. need_semi = false;
  318. }
  319. }
  320. if (n >= 0) {
  321. arr[i] = '';
  322. if (p < 0) {
  323. need_semi = true;
  324. }
  325. } else if (n < 0) {
  326. n = arr[i].indexOf("ASSERT ");
  327. if (n >= 0) {
  328. arr[i] = '';
  329. if (p < 0) {
  330. need_semi = true;
  331. }
  332. }
  333. }
  334. }
  335. if (arr[i].match(/FUNCTION|PROCEDURE/) != null) {
  336. arr[i] = arr[i].replace(/;/g, '@@semicolon');
  337. }
  338. if (port_s) {
  339. port_s += arr[i];
  340. var k_port = port_s.split("(").length;
  341. if (k_port == port_s.split(")").length) {
  342. arr[i] = arr[i] + "@@end";
  343. port_s = "";
  344. port_b = false;
  345. }
  346. }
  347. if ((!port_b && arr[i].regexIndexOf(/(\s|\(|^)(PORT|GENERIC|PROCESS|PROCEDURE)(\s|\(|$)/) >= 0) || (arr[i].regexIndexOf(/:[ ]?=[ ]?\(/) >= 0 && before_begin)) {
  348. port_b = true;
  349. port_s = arr[i];
  350. var k_port = port_s.split("(").length;
  351. if (k_port == 1) {
  352. port_b = false;
  353. port_s = "";
  354. } else if (k_port == port_s.split(")").length) {
  355. port_s = "";
  356. port_b = false;
  357. arr[i] = arr[i] + "@@singleend";
  358. } else {
  359. arr[i] = arr[i].replace(/(PORT|GENERIC|PROCEDURE)([a-z0-9A-Z_ ]+)\(([a-zA-Z0-9_\(\) ]+)/, '$1$2(\r\n$3');
  360. }
  361. }
  362. /*if (!new_line) {
  363. if (arr[i].regexIndexOf(/(;|THEN)[ a-z0-9]+[a-z0-9]+/) >= 0) {
  364. singleline[singlelineIndex] = arr[i];
  365. arr[i] = "@@singleline" + singlelineIndex++;
  366. }
  367. }*/
  368. }
  369. input = arr.join("\r\n");
  370. input = input.replace(/([a-zA-Z0-9\); ])\);(@@comments[0-9]+)?@@end/g, '$1\r\n);$2@@end');
  371. input = input.replace(/[ ]?([&=:\-<>\+|\*])[ ]?/g, ' $1 ');
  372. input = input.replace(/[ ]?([,])[ ]?/g, '$1 ');
  373. input = input.replace(/[ ]?(['"])(THEN)/g, '$1 $2');
  374. input = input.replace(/[ ]?(\?)?[ ]?(<|:|>|\/)?[ ]+(=)?[ ]?/g, ' $1$2$3 ');
  375. input = input.replace(/(IF)[ ]?([\(\)])/g, '$1 $2');
  376. input = input.replace(/([\(\)])[ ]?(THEN)/gi, '$1 $2');
  377. input = input.replace(/(^|[\(\)])[ ]?(AND|OR|XOR|XNOR)[ ]*([\(])/g, '$1 $2 $3');
  378. input = input.replace(/ ([\-\*\/=+<>])[ ]*([\-\*\/=+<>]) /g, " $1$2 ");
  379. input = input.replace(/\r\n[ \t]+--\r\n/g, "\r\n");
  380. input = input.replace(/[ ]+/g, ' ');
  381. input = input.replace(/\r\n\r\n\r\n/g, '\r\n');
  382. input = input.replace(/[\r\n\s]+$/g, '');
  383. input = input.replace(/[ \t]+\)/g, ')');
  384. //if (remove_lines) {
  385. // input = input.replace(/(\r\n)*[ \t]*\r\n/g, '\r\n');
  386. //}
  387. var matches = input.match(/'([a-zA-Z]+)\s/g);
  388. if (matches != null) {
  389. for (var k2 = 0; k2 < matches.length; k2++) {
  390. input = input.replace(matches[k2], matches[k2].toUpperCase());
  391. }
  392. }
  393. input = input.replace(/(MAP)[ \r\n]+\(/g, '$1(');
  394. input = input.replace(/(;|THEN)[ ]?(@@comments[0-9]+)([a-zA-Z])/g, '$1 $2\r\n$3');
  395. input = input.replace(/[\r\n ]+RETURN/g, ' RETURN');
  396. input = input.replace(/BEGIN[\r\n ]+/g, 'BEGIN\r\n');
  397. input = input.replace(/ (PORT|GENERIC) /g, '\r\n$1 ');
  398. if (settings.CheckAlias) {
  399. var alias = [],
  400. subarr = [],
  401. o = 0,
  402. p = 0,
  403. p2 = 0,
  404. l2 = 0,
  405. i2 = 0;
  406. arr = input.split("ARCHITECTURE ");
  407. l = arr.length;
  408. for (i = 0; i < l; i++) {
  409. subarr = arr[i].split("ALIAS ");
  410. l2 = subarr.length;
  411. if (l2 > 1) {
  412. o = 0;
  413. for (i2 = 1; i2 < l2; i2++) {
  414. o = subarr[i2].indexOf(";", n);
  415. str = subarr[i2].substring(0, o);
  416. alias[p2++] = str.split(" IS ");
  417. }
  418. i2--;
  419. var str2 = subarr[i2].substr(o);
  420. for (p = 0; p < p2; p++) {
  421. var reg = new RegExp(alias[p][1], 'gi');
  422. str2 = str2.replace(reg, alias[p][0]);
  423. }
  424. subarr[i2] = subarr[i2].substring(0, o) + str2;
  425. }
  426. arr[i] = subarr.join("ALIAS ");
  427. }
  428. input = arr.join("ARCHITECTURE ");
  429. }
  430. arr = input.split("\r\n");
  431. l = arr.length;
  432. var signAlignPos = "";
  433. var if_b = 0,
  434. white_space = "",
  435. case_b = false,
  436. case_n = 0,
  437. procfun_b = false,
  438. semi_b = false,
  439. set_false = false,
  440. entity_b = false,
  441. then_b = false,
  442. conditional_b = false,
  443. generic_map_b = false,
  444. architecture_begin_b = false,
  445. process_begin_b = false,
  446. case_indent = [0, 0, 0, 0, 0, 0, 0];
  447. var align_groups = [],
  448. align_groups_max = [],
  449. lastAlignedSign = "",
  450. current_align_group = 0,
  451. aligned_group_starts = 0;
  452. var indent_start = [];
  453. for (i = 0; i < l; i++) {
  454. str = arr[i];
  455. str_len = str.length;
  456. if (str.replace(/[ \-\t]*/, "").length > 0) {
  457. var first_word = str.split(/[^\w]/)[0];
  458. var indent_start_last = indent_start.length == 0 ? 0 : indent_start[indent_start.length - 1];
  459. if (then_b) {
  460. arr[i] = " " + arr[i];
  461. if (str.indexOf(" THEN") >= 0) {
  462. then_b = false;
  463. back_tab = true;
  464. }
  465. }
  466. arr[i] = white_space + arr[i];
  467. if (first_word == "ELSIF") {
  468. tab_n = indent_start_last - 1;
  469. indent_start.pop();
  470. back_tab = true;
  471. } else if (str.indexOf("END CASE") == 0) {
  472. indent_start.pop();
  473. case_n--;
  474. tab_n = indent_start[indent_start.length - 1];
  475. } else if (first_word == "END") {
  476. tab_n = indent_start_last - 1;
  477. indent_start.pop();
  478. if (str.indexOf("END IF") == 0) {
  479. if_b--;
  480. }
  481. if (i == l - 1) {
  482. tab_n = 1;
  483. }
  484. } else if (first_word == "ELSE" && if_b) {
  485. tab_n = indent_start_last - 1;
  486. indent_start.pop();
  487. back_tab = true;
  488. } else if (case_n) {
  489. if (first_word == "WHEN") {
  490. tab_n = case_indent[case_n - 1];
  491. //back_tab = true;
  492. }
  493. } else if (first_word == "BEGIN") {
  494. if (begin_b) {
  495. if (architecture_begin_b) {
  496. tab_n = indent_start_last - 1;
  497. architecture_begin_b = false;
  498. } else if (process_begin_b) {
  499. tab_n = indent_start_last - 1;
  500. process_begin_b = false;
  501. } else {
  502. tab_n = indent_start_last;
  503. indent_start.push(tab_n + 1);
  504. }
  505. //indent_start.pop();
  506. back_tab = true;
  507. begin_b = false;
  508. if (procfun_b) {
  509. tab_n++;
  510. indent_start.push(tab_n);
  511. begin_b = true;
  512. }
  513. } else {
  514. back_tab = true;
  515. }
  516. } else if (first_word == "PROCESS") {
  517. begin_b = true;
  518. } else if (str.indexOf(": PROCESS") >= 0) {
  519. back_tab = true;
  520. begin_b = true;
  521. process_begin_b = true;
  522. } else if (str.indexOf(": ENTITY") >= 0) {
  523. back_tab = true;
  524. entity_b = true;
  525. } else if (str.indexOf("PROCEDURE ") >= 0) {
  526. back_tab = true;
  527. begin_b = true;
  528. }
  529. if (port_b && str.indexOf("@@") < 0 && arr[i + 1].indexOf("@@") < 0) {
  530. if (signAlignPos == ":") {
  531. if (str.indexOf(';') < 0) {
  532. arr[i] += arr[i + 1];
  533. arr[i + 1] = '@@removeline';
  534. }
  535. } else if (signAlignPos == "=>") {
  536. if (str.indexOf(',') < 0) {
  537. arr[i] += arr[i + 1];
  538. arr[i + 1] = '@@removeline';
  539. }
  540. }
  541. }
  542. if (str.indexOf("PORT MAP") >= 0) {
  543. back_tab = true;
  544. port_b = true;
  545. if (str.indexOf(");") < 0) {
  546. align_i1 = align_i;
  547. var t = str.indexOf("=>");
  548. if (t >= 0) {
  549. signAlignPos = "=>";
  550. } else {
  551. t = arr[i + 1].indexOf("=>");
  552. if (t >= 0) {
  553. signAlignPos = "=>";
  554. }
  555. }
  556. } else {
  557. signAlignPos = "";
  558. }
  559. } else if (str.indexOf("GENERIC MAP") >= 0) {
  560. tab_n++;
  561. indent_start.push(tab_n);
  562. generic_map_b = true;
  563. if (!begin_b) {
  564. back_tab = false;
  565. }
  566. } else if (str.indexOf("PORT (") >= 0 && begin_b) {
  567. back_tab = true;
  568. port_b = true;
  569. t = str.indexOf(":");
  570. if (str.indexOf(");") < 0) {
  571. align_i1 = align_i;
  572. if (t >= 0) {
  573. signAlignPos = ":";
  574. } else {
  575. t = arr[i + 1].indexOf(":");
  576. if (t >= 0) {
  577. signAlignPos = ":";
  578. }
  579. }
  580. } else {
  581. signAlignPos = "";
  582. }
  583. }
  584. if (set_false) {
  585. procfun_b = false;
  586. set_false = false;
  587. }
  588. if (str.indexOf("(") >= 0) {
  589. if (str.indexOf("PROCEDURE") >= 0 || str.indexOf("FUNCTION") >= 0) {
  590. procfun_b = true;
  591. back_tab = true;
  592. }
  593. if ((str.indexOf("GENERIC") >= 0 || str.indexOf(":= (") >= 0 || str.regexIndexOf(/PROCEDURE[a-zA-Z0-9_ ]+\(/) >= 0) && begin_b) {
  594. port_b = true;
  595. back_tab = true;
  596. }
  597. } else if (first_word == "FUNCTION") {
  598. back_tab = true;
  599. begin_b = true;
  600. }
  601. if (str.indexOf("@@singleend") >= 0) {
  602. back_tab = false;
  603. port_b = false;
  604. if (!begin_b) {
  605. forward_tab = true;
  606. }
  607. } else if (str.indexOf("@@end") >= 0 && port_b) {
  608. port_b = false;
  609. indent_start.pop();
  610. tab_n = indent_start[indent_start.length - 1];
  611. if (entity_b) {
  612. forward_tab = true;
  613. }
  614. if (generic_map_b) {
  615. forward_tab = true;
  616. generic_map_b = false;
  617. }
  618. }
  619. if (settings.SignAlignAll) {
  620. var alignedSigns = [":", "<=", "=>"];
  621. for (var currentSign = 0; currentSign < alignedSigns.length; currentSign++) {
  622. if (str.indexOf(alignedSigns[currentSign]) > 0) {
  623. var char_before_sign = str.split(alignedSigns[currentSign])[0];
  624. var char_before_sign_length = char_before_sign.length;
  625. align_groups.push(char_before_sign_length);
  626. align_groups_max.push(char_before_sign_length);
  627. if (alignedSigns[currentSign] == lastAlignedSign) {
  628. if (align_groups_max[current_align_group - 1] < char_before_sign_length) {
  629. for (var k3 = aligned_group_starts; k3 <= current_align_group; k3++) {
  630. align_groups_max[k3] = char_before_sign_length;
  631. }
  632. } else {
  633. align_groups_max[current_align_group] = align_groups_max[current_align_group - 1];
  634. }
  635. } else {
  636. aligned_group_starts = current_align_group;
  637. }
  638. arr[i] = char_before_sign + "@@alignall" + (current_align_group++) + str.substring(char_before_sign.length, arr[i].length);
  639. lastAlignedSign = alignedSigns[currentSign];
  640. break;
  641. }
  642. }
  643. if (currentSign == alignedSigns.length) {
  644. lastAlignedSign = "";
  645. }
  646. } else if (settings.SignAlign) {
  647. if (port_b && signAlignPos != "") {
  648. if (str.indexOf(signAlignPos) >= 0) {
  649. var a1 = arr[i].split(signAlignPos);
  650. var l1 = a1[0].length;
  651. if (align_i >= 0 && align_i > align_i1) {
  652. align_max[align_i] = align_max[align_i - 1];
  653. } else {
  654. align_max[align_i] = l1;
  655. }
  656. if (align_i > align_i1 && align_max[align_i] < l1) {
  657. for (var k3 = align_i1; k3 <= align_i; k3++) {
  658. align_max[k3] = l1;
  659. }
  660. }
  661. align[align_i] = l1;
  662. arr[i] = a1[0] + "@@align" + (align_i++) + signAlignPos + arr[i].substring(l1 + signAlignPos.length, arr[i].length);
  663. }
  664. }
  665. }
  666. tab_n = tab_n < 1 ? 1 : tab_n;
  667. if (str_len) {
  668. if (isTesting) {
  669. console.log(tab_n, arr[i], indent_start);
  670. }
  671. arr[i] = (Array(tab_n).join(settings.Indentation)) + arr[i]; //indent
  672. /*if (new_line_after_port) {
  673. if (str.indexOf('@@singleend') < 0) {
  674. arr[i] = arr[i].replace(/(PORT|GENERIC)([ \w]*)\(/, "$1$2\r\n" + (Array(tab_n).join(indentation)) + "(");
  675. }
  676. }*/
  677. }
  678. if (back_tab) {
  679. tab_n++;
  680. indent_start.push(tab_n);
  681. back_tab = false;
  682. }
  683. if (forward_tab) {
  684. tab_n = indent_start_last;
  685. indent_start.pop();
  686. forward_tab = false;
  687. }
  688. if (conditional_b && str.indexOf(";") >= 0) {
  689. conditional_b = false;
  690. white_space = "";
  691. } else if (str.indexOf(";") >= 0 && semi_b) {
  692. semi_b = false;
  693. tab_n = indent_start_last;
  694. indent_start.pop();
  695. } else if (!semi_b && str.indexOf(";") < 0 && !port_b) {
  696. if (!conditional_b) {
  697. if (str.indexOf("WHEN") > 3 && str.indexOf("<=") > 1) {
  698. conditional_b = true;
  699. white_space = (Array(str.indexOf("= ") + 3).join(" "));
  700. } else if (first_word == "WHEN" && i + 1 < arr.length && arr[i + 1].indexOf("WHEN") < 0) {
  701. tab_n = indent_start_last + 1;
  702. } else if (str.indexOf("=>") < 0 && ((str.indexOf("@@quotes") >= 0 && str.indexOf("= @@quotes") < 0 && str.indexOf("IF") < 0) || (str.indexOf("<=") > 0 && str.indexOf("IF") < 0 && str.indexOf("THEN") < 0))) {
  703. tab_n++;
  704. indent_start.push(tab_n);
  705. semi_b = true;
  706. }
  707. }
  708. }
  709. if (first_word == "ENTITY") {
  710. tab_n++;
  711. indent_start.push(tab_n);
  712. } else if (",RECORD,PACKAGE,FOR,COMPONENT,CONFIGURATION,".indexOf("," + first_word + ",") >= 0) {
  713. tab_n++;
  714. indent_start.push(tab_n);
  715. } else if (str.indexOf(": FOR ") >= 0) {
  716. tab_n++;
  717. indent_start.push(tab_n);
  718. } else if (first_word == "CASE" || str.indexOf(": CASE") >= 0) {
  719. tab_n++;
  720. indent_start.push(tab_n);
  721. case_indent[case_n] = tab_n;
  722. case_n++;
  723. } else if (first_word == "ARCHITECTURE") {
  724. tab_n++;
  725. indent_start.push(tab_n);
  726. begin_b = true;
  727. architecture_begin_b = true;
  728. } else if (first_word == "IF") {
  729. if_b++;
  730. tab_n++;
  731. indent_start.push(tab_n);
  732. if (str.indexOf(" THEN") < 0) {
  733. then_b = true;
  734. tab_n = indent_start_last;
  735. //indent_start.pop();
  736. }
  737. }
  738. if (procfun_b) {
  739. if (str.regexIndexOf(/(\))|(RETURN [A-Za-z0-9 ]+)[\r\n ]+IS/) >= 0) {
  740. tab_n = indent_start_last;
  741. indent_start.pop();
  742. set_false = true;
  743. }
  744. }
  745. }
  746. }
  747. input = arr.join("\r\n");
  748. input = input.replace(/[\t]*@@removeline\r\n/g, '');
  749. p = input.indexOf('PROCESS');
  750. while (p >= 0) {
  751. let nextBracket = input.indexOf('(', p);
  752. let nextNewLine = input.indexOf('\r\n', p);
  753. let nextCloseBracket = input.indexOf(')', nextBracket);
  754. if (nextBracket < nextNewLine && nextCloseBracket > nextNewLine) {
  755. let processArray = input.substring(p, nextCloseBracket).split('\r\n');
  756. if (settings.Indentation.replace(/[ ]+/g, '').length == 0) {
  757. for (var i = 1; i < processArray.length; i++) {
  758. processArray[i] = (Array(nextBracket - p + 2).join(' ')) + processArray[i];
  759. }
  760. } else {
  761. for (var i = 1; i < processArray.length; i++) {
  762. processArray[i] = settings.Indentation + processArray[i];
  763. }
  764. }
  765. input = input.substring(0, p) + processArray.join('\r\n') + input.substring(nextCloseBracket, input.length);
  766. p = input.regexIndexOf('PROCESS[ ]+\\(', nextCloseBracket);
  767. } else {
  768. p = input.indexOf('PROCESS[ ]+\\(', p + 7);
  769. }
  770. }
  771. input = SetKeywordCase(input, settings.KeywordCase, KeyWords, TypeNames);
  772. if (settings.SignAlignAll) {
  773. for (var k = 0; k < current_align_group; k++) {
  774. input = input.replace("@@alignall" + k, Array((align_groups_max[k] - align_groups[k] + 1)).join(" "));
  775. }
  776. }
  777. if (settings.SignAlign) {
  778. for (var k = 0; k < align_i; k++) {
  779. input = input.replace("@@align" + k, Array((align_max[k] - align[k] + 2)).join(" "));
  780. }
  781. }
  782. for (var k = 0; k < quotesIndex; k++) {
  783. input = input.replace("@@quotes" + k, quotes[k]);
  784. }
  785. for (var k = 0; k < singlelineIndex; k++) {
  786. input = input.replace("@@singleline" + k, singleline[k]);
  787. }
  788. return input;
  789. }
  790. function RemoveExtraNewLines(input: any) {
  791. input = input.replace(/(?:\r\n|\r|\n)/g, '\r\n');
  792. input = input.replace(/ \r\n/g, '\r\n');
  793. input = input.replace(/\r\n\r\n\r\n/g, '\r\n');
  794. return input;
  795. }