You can not select more than 25 topics Topics must start with a letter or number, can include dashes ('-') and can be up to 35 characters long.

155 lines
12 KiB

6 years ago
  1. import { beautify } from "./VHDLFormatter";
  2. import { indentDecode } from "./VHDLFormatter";
  3. import { NewLineSettings } from "./VHDLFormatter";
  4. import { BeautifierSettings } from "./VHDLFormatter";
  5. var showUnitTests = true;//window.location.href.indexOf("http") < 0;
  6. if (showUnitTests) {
  7. UnitTest();
  8. UnitTestIndentDecode();
  9. }
  10. interface Function {
  11. readonly name: string;
  12. }
  13. function UnitTestIndentDecode() {
  14. console.log("=== IndentDecode ===");
  15. UnitTest2(indentDecode, "one blankspace", " ", "one blankspace");
  16. UnitTest2(indentDecode, "mixed chars", " A ", "one blankspace & one A & one blankspace");
  17. UnitTest2(indentDecode, "4 blankspaces", " ", "four blankspace");
  18. UnitTest2(indentDecode, "9 blankspaces", " ", "many blankspace");
  19. }
  20. function assert(testName, expected, actual, message?) {
  21. var result = CompareString(actual, expected);
  22. if (result != true) {
  23. console.log(testName + " failed: " + result);
  24. }
  25. else {
  26. //console.log(testName + " pass");
  27. }
  28. }
  29. type StringCallback = (text: string) => string;
  30. function UnitTest2(func: StringCallback, testName: string, inputs, expected: string) {
  31. let actual: string = func(inputs);
  32. assert(testName, expected, actual);
  33. }
  34. function deepCopy(objectToCopy: BeautifierSettings): BeautifierSettings {
  35. return (JSON.parse(JSON.stringify(objectToCopy)));
  36. }
  37. function UnitTest() {
  38. let new_line_after_symbols: NewLineSettings = new NewLineSettings();
  39. new_line_after_symbols.newLineAfter = ["Then", ";"];
  40. let settings: BeautifierSettings = new BeautifierSettings(false, false, false, false, false, "uppercase", " ", new_line_after_symbols);
  41. let input = "architecture TB of TB_CPU is\r\n component CPU_IF\r\n port -- port list\r\n end component;\r\n signal CPU_DATA_VALID: std_ulogic;\r\n signal CLK, RESET: std_ulogic := '0';\r\n constant PERIOD : time := 10 ns;\r\n constant MAX_SIM: time := 50 * PERIOD;\r\n begin\r\n -- concurrent statements\r\n end TB;"
  42. let expected = "ARCHITECTURE TB OF TB_CPU IS\r\n COMPONENT CPU_IF\r\n PORT -- port list\r\n END COMPONENT;\r\n SIGNAL CPU_DATA_VALID : std_ulogic;\r\n SIGNAL CLK, RESET : std_ulogic := '0';\r\n CONSTANT PERIOD : TIME := 10 ns;\r\n CONSTANT MAX_SIM : TIME := 50 * PERIOD;\r\nBEGIN\r\n -- concurrent statements\r\nEND TB;";
  43. let actual = beautify(input, settings);
  44. console.log("General", actual == expected);
  45. let newSettings = deepCopy(settings);
  46. newSettings.RemoveComments = true;
  47. expected = "ARCHITECTURE TB OF TB_CPU IS\r\n COMPONENT CPU_IF\r\n PORT \r\n END COMPONENT;\r\n SIGNAL CPU_DATA_VALID : std_ulogic;\r\n SIGNAL CLK, RESET : std_ulogic := '0';\r\n CONSTANT PERIOD : TIME := 10 ns;\r\n CONSTANT MAX_SIM : TIME := 50 * PERIOD;\r\nBEGIN\r\nEND TB;";
  48. actual = beautify(input, newSettings);
  49. console.log("Remove comments", actual == expected);
  50. input = "entity TB_DISPLAY is\r\n-- port declarations\r\nend TB_DISPLAY;\r\n\r\narchitecture TEST of TB_DISPLAY is\r\n-- signal declarations\r\nbegin\r\n-- component instance(s)\r\nend TEST;";
  51. expected = "ENTITY TB_DISPLAY IS\r\n -- port declarations\r\nEND TB_DISPLAY;\r\n\r\nARCHITECTURE TEST OF TB_DISPLAY IS\r\n -- signal declarations\r\nBEGIN\r\n -- component instance(s)\r\nEND TEST;";
  52. actual = beautify(input, settings);
  53. console.log("ENTITY ARCHITECTURE", CompareString(actual, expected));
  54. newSettings = deepCopy(settings);
  55. newSettings.SignAlign = true;
  56. input = "port map(\r\ninput_1 => input_1_sig,\r\ninput_2 => input_2_sig,\r\noutput => output_sig\r\n);";
  57. expected = "PORT MAP(\r\n input_1 => input_1_sig, \r\n input_2 => input_2_sig, \r\n output => output_sig\r\n);";
  58. actual = beautify(input, newSettings);
  59. console.log("Sign align in PORT", actual == expected);
  60. input = 'if a(3 downto 0) > "0100" then\r\na(3 downto 0) := a(3 downto 0) + "0011" ;\r\nend if ;';
  61. expected = 'IF a(3 DOWNTO 0) > "0100" THEN\r\n a(3 DOWNTO 0) := a(3 DOWNTO 0) + "0011";\r\nEND IF;';
  62. actual = beautify(input, settings);
  63. console.log("IF END IF case 1", CompareString(actual, expected));
  64. input = "if s = '1' then\r\no <= \"010\";\r\nelse\r\no <= \"101\";\r\nend if;";
  65. expected = "IF s = '1' THEN\r\n o <= \"010\";\r\nELSE\r\n o <= \"101\";\r\nEND IF;";
  66. actual = beautify(input, settings);
  67. console.log("IF ELSE END IF case 1", actual == expected);
  68. input = "IF (s = r) THEN rr := '0'; ELSE rr := '1'; END IF;";
  69. expected = "IF (s = r) THEN\r\n rr := '0';\r\nELSE\r\n rr := '1';\r\nEND IF;";
  70. actual = beautify(input, settings);
  71. console.log("IF ELSE END IF case 2", actual == expected);
  72. input = 'P1:process\r\nvariable x: Integer range 1 to 3;\r\nvariable y: BIT_VECTOR (0 to 1);\r\nbegin\r\n C1: case x is\r\n when 1 => Out_1 <= 0;\r\n when 2 => Out_1 <= 1;\r\n end case C1;\r\n C2: case y is\r\n when "00" => Out_2 <= 0;\r\n when "01" => Out_2 <= 1;\r\n end case C2;\r\nend process;';
  73. expected = 'P1 : PROCESS\r\n VARIABLE x : INTEGER RANGE 1 TO 3;\r\n VARIABLE y : BIT_VECTOR (0 TO 1);\r\nBEGIN\r\n C1 : CASE x IS\r\n WHEN 1 => Out_1 <= 0;\r\n WHEN 2 => Out_1 <= 1;\r\n END CASE C1;\r\n C2 : CASE y IS\r\n WHEN "00" => Out_2 <= 0;\r\n WHEN "01" => Out_2 <= 1;\r\n END CASE C2;\r\nEND PROCESS;';
  74. actual = beautify(input, settings);
  75. console.log("WHEN CASE", CompareString(actual, expected));
  76. input = "case READ_CPU_STATE is\r\n when WAITING =>\r\n if CPU_DATA_VALID = '1' then\r\n CPU_DATA_READ <= '1';\r\n READ_CPU_STATE <= DATA1;\r\n end if;\r\n when DATA1 =>\r\n -- etc.\r\nend case;";
  77. expected = "CASE READ_CPU_STATE IS\r\n WHEN WAITING => \r\n IF CPU_DATA_VALID = '1' THEN\r\n CPU_DATA_READ <= '1';\r\n READ_CPU_STATE <= DATA1;\r\n END IF;\r\n WHEN DATA1 => \r\n -- etc.\r\nEND CASE;";
  78. actual = beautify(input, settings);
  79. console.log("WHEN CASE & IF", CompareString(actual, expected));
  80. input = "entity aa is\r\n port (a : in std_logic;\r\n b : in std_logic;\r\n );\r\nend aa;\r\narchitecture bb of aa is\r\n component cc\r\n port(\r\n a : in std_logic;\r\n b : in std_logic;\r\n );\r\n end cc;\r\n\r\nbegin\r\n C : cc port map (\r\n long => a,\r\n b => b\r\n );\r\nend;";
  81. expected = "ENTITY aa IS\r\n PORT (\r\n a : IN std_logic;\r\n b : IN std_logic;\r\n );\r\nEND aa;\r\nARCHITECTURE bb OF aa IS\r\n COMPONENT cc\r\n PORT (\r\n a : IN std_logic;\r\n b : IN std_logic;\r\n );\r\n END cc;\r\n\r\nBEGIN\r\n C : cc\r\n PORT MAP(\r\n long => a, \r\n b => b\r\n );\r\nEND;";
  82. actual = beautify(input, settings);
  83. console.log("PORT MAP", CompareString(actual, expected));
  84. input = "entity aa is\r\n port (a : in std_logic;\r\n b : in std_logic;\r\n );\r\n port (a : in std_logic;\r\n b : in std_logic;\r\n );\r\nend aa;\r\narchitecture bb of aa is\r\n component cc\r\n port(\r\n a : in std_logic;\r\n b : in std_logic;\r\n );\r\n port(\r\n a : in std_logic;\r\n b : in std_logic;\r\n );\r\n end cc;\r\n\r\nbegin\r\n C : cc port map (\r\n long => a,\r\n b => b\r\n );\r\n D : cc port map (\r\n long => a,\r\n b => b\r\n );\r\nend;";
  85. expected = "ENTITY aa IS\r\n PORT (\r\n a : IN std_logic;\r\n b : IN std_logic;\r\n );\r\n PORT (\r\n a : IN std_logic;\r\n b : IN std_logic;\r\n );\r\nEND aa;\r\nARCHITECTURE bb OF aa IS\r\n COMPONENT cc\r\n PORT (\r\n a : IN std_logic;\r\n b : IN std_logic;\r\n );\r\n PORT (\r\n a : IN std_logic;\r\n b : IN std_logic;\r\n );\r\n END cc;\r\n\r\nBEGIN\r\n C : cc\r\n PORT MAP(\r\n long => a, \r\n b => b\r\n );\r\n D : cc\r\n PORT MAP(\r\n long => a, \r\n b => b\r\n );\r\nEND;";
  86. actual = beautify(input, settings);
  87. console.log("Multiple PORT MAPs", CompareString(actual, expected));
  88. input = "port (a : in std_logic;\r\n b : in std_logic;\r\n);";
  89. expected = "PORT \r\n(\r\n a : IN std_logic;\r\n b : IN std_logic;\r\n);";
  90. let new_line_after_symbols_2: NewLineSettings = new NewLineSettings();
  91. new_line_after_symbols_2.newLineAfter = ["Then", ";", "generic", "port"];
  92. newSettings = deepCopy(settings);
  93. newSettings.NewLineSettings = new_line_after_symbols_2;
  94. actual = beautify(input, newSettings);
  95. console.log("New line aster PORT", CompareString(actual, expected));
  96. input = "component a is\r\nport( Data : inout Std_Logic_Vector(7 downto 0););\r\nend component a;";
  97. expected = "COMPONENT a IS\r\n PORT (Data : INOUT Std_Logic_Vector(7 DOWNTO 0););\r\nEND COMPONENT a;";
  98. actual = beautify(input, newSettings);
  99. console.log("New line aster PORT (single line)", CompareString(actual, expected));
  100. input = "process xyx (vf,fr,\r\nde -- comment\r\n)";
  101. expected = "PROCESS xyx (vf, fr, \r\n de -- comment\r\n )";
  102. actual = beautify(input, newSettings);
  103. console.log("Align parameters in PROCESS", CompareString(actual, expected));
  104. input = "architecture a of b is\r\nbegin\r\n process (w)\r\n variable t : std_logic_vector (4 downto 0) ;\r\nbegin\r\n a := (others => '0') ;\r\nend process ;\r\nend a;";
  105. expected = "ARCHITECTURE a OF b IS\r\nBEGIN\r\n PROCESS (w)\r\n VARIABLE t : std_logic_vector (4 DOWNTO 0);\r\n BEGIN\r\n a := (OTHERS => '0');\r\n END PROCESS;\r\nEND a;";
  106. actual = beautify(input, newSettings);
  107. console.log("Double BEGIN", CompareString(actual, expected));
  108. let newSettings2 = deepCopy(newSettings);
  109. newSettings2.SignAlignAll = true;
  110. input = "entity a is\r\n port ( w : in std_logic_vector (7 downto 0) ;\r\n w_s : out std_logic_vector (3 downto 0) ; ) ;\r\nend a ;\r\narchitecture b of a is\r\nbegin\r\n process ( w )\r\n variable t : std_logic_vector (4 downto 0) ;\r\n variable bcd : std_logic_vector (11 downto 0) ;\r\nbegin\r\n b(2 downto 0) := w(7 downto 5) ;\r\n t := w(4 downto 0) ;\r\n w_s <= b(11 downto 8) ;\r\n w <= b(3 downto 0) ;\r\nend process ;\r\nend b ;";
  111. expected = "ENTITY a IS\r\n PORT \r\n (\r\n w : IN std_logic_vector (7 DOWNTO 0);\r\n w_s : OUT std_logic_vector (3 DOWNTO 0); \r\n );\r\nEND a;\r\nARCHITECTURE b OF a IS\r\nBEGIN\r\n PROCESS (w)\r\n VARIABLE t : std_logic_vector (4 DOWNTO 0);\r\n VARIABLE bcd : std_logic_vector (11 DOWNTO 0);\r\n BEGIN\r\n b(2 DOWNTO 0) := w(7 DOWNTO 5);\r\n t := w(4 DOWNTO 0);\r\n w_s <= b(11 DOWNTO 8);\r\n w <= b(3 DOWNTO 0);\r\n END PROCESS;\r\nEND b;";
  112. actual = beautify(input, newSettings2);
  113. console.log("Align signs in all places", CompareString(actual, expected));
  114. input = "begin\r\n P0 : process(input)\r\n variable value: Integer;\r\n begin\r\n result(i) := '0';\r\n end process P0;\r\nend behavior;";
  115. expected = "BEGIN\r\n P0 : PROCESS (input)\r\n VARIABLE value : INTEGER;\r\n BEGIN\r\n result(i) := '0';\r\n END PROCESS P0;\r\nEND behavior;";
  116. actual = beautify(input, newSettings);
  117. console.log("Indent after Begin", CompareString(actual, expected));
  118. }
  119. function CompareString(actual: string, expected: string) {
  120. var l = Math.min(actual.length, expected.length);
  121. for (var i = 0; i < l; i++) {
  122. if (actual[i] != expected[i]) {
  123. var toEnd = Math.min(i + 50, l);
  124. return '\ndifferent at ' + i.toString() + '\nactual: "\n' + actual.substring(i, toEnd) + '\nexpected: "\n' + expected.substring(i, toEnd) + '"' + "\nactual: \n" + actual;
  125. }
  126. }
  127. if (actual != expected) {
  128. return 'actual: \n"' + actual + '"\nexpected: \n"' + expected + '"';
  129. }
  130. return true;
  131. }