You can not select more than 25 topics Topics must start with a letter or number, can include dashes ('-') and can be up to 35 characters long.

823 lines
32 KiB

6 years ago
6 years ago
6 years ago
6 years ago
6 years ago
6 years ago
6 years ago
6 years ago
6 years ago
6 years ago
6 years ago
6 years ago
6 years ago
6 years ago
6 years ago
6 years ago
6 years ago
6 years ago
6 years ago
6 years ago
6 years ago
6 years ago
6 years ago
6 years ago
6 years ago
6 years ago
6 years ago
6 years ago
6 years ago
6 years ago
6 years ago
6 years ago
6 years ago
6 years ago
6 years ago
6 years ago
6 years ago
6 years ago
6 years ago
6 years ago
6 years ago
6 years ago
6 years ago
6 years ago
6 years ago
6 years ago
6 years ago
6 years ago
6 years ago
6 years ago
6 years ago
  1. "use strict";
  2. Object.defineProperty(exports, "__esModule", { value: true });
  3. let isTesting = false;
  4. const ILCommentPrefix = "@@comments";
  5. const ILQuotesPrefix = "@@quotes";
  6. class NewLineSettings {
  7. constructor() {
  8. this.newLineAfter = [];
  9. this.noNewLineAfter = [];
  10. }
  11. newLineAfterPush(keyword) {
  12. this.newLineAfter.push(keyword);
  13. }
  14. noNewLineAfterPush(keyword) {
  15. this.noNewLineAfter.push(keyword);
  16. }
  17. push(keyword, addNewLine) {
  18. if (addNewLine) {
  19. this.newLineAfterPush(keyword);
  20. }
  21. else {
  22. this.noNewLineAfterPush(keyword);
  23. }
  24. }
  25. }
  26. exports.NewLineSettings = NewLineSettings;
  27. function ConstructNewLineSettings(dict) {
  28. let settings = new NewLineSettings();
  29. for (let key in dict) {
  30. settings.push(key, dict[key]);
  31. }
  32. return settings;
  33. }
  34. function fetchHeader(url, wch) {
  35. try {
  36. var req = new XMLHttpRequest();
  37. req.open("HEAD", url, false);
  38. req.send(null);
  39. if (req.status == 200) {
  40. return req.getResponseHeader(wch);
  41. }
  42. else
  43. return false;
  44. }
  45. catch (e) {
  46. return "";
  47. }
  48. }
  49. String.prototype.regexIndexOf = function (pattern, startIndex) {
  50. startIndex = startIndex || 0;
  51. var searchResult = this.substr(startIndex).search(pattern);
  52. return (-1 === searchResult) ? -1 : searchResult + startIndex;
  53. };
  54. String.prototype.regexLastIndexOf = function (pattern, startIndex) {
  55. startIndex = startIndex === undefined ? this.length : startIndex;
  56. var searchResult = this.substr(0, startIndex).reverse().regexIndexOf(pattern, 0);
  57. return (-1 === searchResult) ? -1 : this.length - ++searchResult;
  58. };
  59. String.prototype.reverse = function () {
  60. return this.split('').reverse().join('');
  61. };
  62. function wordWrap() {
  63. var d = document.getElementById("result");
  64. if (d.className == "") {
  65. d.className = "wordwrap";
  66. }
  67. else {
  68. d.className = "";
  69. }
  70. }
  71. function getHTMLInputElement(name) {
  72. return document.getElementById(name);
  73. }
  74. function noFormat() {
  75. let elements = ["remove_comments",
  76. "remove_lines",
  77. "remove_report",
  78. "check_alias",
  79. "sign_align",
  80. "sign_align_all",
  81. "new_line_after_port",
  82. "new_line",
  83. "use_space",
  84. "compress",
  85. "mix_letter"];
  86. var t = !(getHTMLInputElement("remove_comments").disabled);
  87. elements.forEach(element => {
  88. getHTMLInputElement(element).disabled = t;
  89. });
  90. let keyword = document.getElementById("keyword");
  91. for (let i = 0; i < keyword.elements.length; i++) {
  92. keyword.elements[i].disabled = t;
  93. }
  94. }
  95. function indent_decode() {
  96. var custom_indent = getHTMLInputElement("cust_indent").value;
  97. var result = indentDecode(custom_indent);
  98. document.getElementById("indent_s").innerHTML = result;
  99. }
  100. function indentDecode(input) {
  101. input = input.replace(/\\t/g, " ");
  102. var count = [" & one ", " & two ", " & three ", " & four ", " & five ", " & six ", " & seven ", " & eight ", " & many "];
  103. var tokens = input.split("");
  104. var result = "";
  105. var repeatedCharCount = 0;
  106. for (var i = 0; i < tokens.length; i++) {
  107. var char = input.substr(i, 1);
  108. if (char == input.substr(i + 1, 1)) {
  109. repeatedCharCount++;
  110. }
  111. else {
  112. switch (char) {
  113. case " ":
  114. char = "blankspace";
  115. break;
  116. case "\t":
  117. char = "tab";
  118. }
  119. repeatedCharCount = repeatedCharCount > 8 ? 8 : repeatedCharCount;
  120. result += count[repeatedCharCount] + char;
  121. repeatedCharCount = 0;
  122. }
  123. }
  124. if (result.length < 0) {
  125. switch (char) {
  126. case " ":
  127. char = "blankspace";
  128. break;
  129. case "\t":
  130. char = "tab";
  131. }
  132. repeatedCharCount = repeatedCharCount > 8 ? 8 : repeatedCharCount;
  133. result = count[repeatedCharCount] + char;
  134. }
  135. result = result.replace(/^ & /, "");
  136. return result;
  137. }
  138. exports.indentDecode = indentDecode;
  139. function Compress(input) {
  140. input = input.replace(/\r\n/g, '');
  141. input = input.replace(/[\t ]+/g, ' ');
  142. input = input.replace(/[ ]?([&=:\-<>\+|])[ ]?/g, '$1');
  143. return input;
  144. }
  145. function MixLetters(input) {
  146. let arr = input.split("");
  147. for (var k = 0; k < arr.length; k++) {
  148. if (arr[k] === arr[k].toUpperCase() && Math.random() > 0.5) {
  149. arr[k] = arr[k].toLowerCase();
  150. }
  151. else if (Math.random() > 0.5) {
  152. arr[k] = arr[k].toUpperCase();
  153. }
  154. }
  155. return arr.join("");
  156. }
  157. function EscapeComments(arr, comments, commentIndex) {
  158. for (var i = 0; i < arr.length; i++) {
  159. let line = arr[i];
  160. var firstCharIndex = line.regexIndexOf(/[a-zA-Z0-9\(\&\)%_\+'"|]/);
  161. var commentStartIndex = line.indexOf("--");
  162. if (firstCharIndex < commentStartIndex && firstCharIndex >= 0) {
  163. comments.push(line.substr(commentStartIndex));
  164. arr[i] = line.substr(firstCharIndex, commentStartIndex - firstCharIndex) + ILCommentPrefix + (commentIndex++);
  165. }
  166. else if ((firstCharIndex > commentStartIndex && commentStartIndex >= 0) || (firstCharIndex < 0 && commentStartIndex >= 0)) {
  167. comments.push(line.substr(commentStartIndex));
  168. arr[i] = ILCommentPrefix + (commentIndex++);
  169. }
  170. else {
  171. firstCharIndex = firstCharIndex < 0 ? 0 : firstCharIndex;
  172. arr[i] = line.substr(firstCharIndex);
  173. }
  174. }
  175. return commentIndex;
  176. }
  177. function ToLowerCases(arr) {
  178. for (var i = 0; i < arr.length; i++) {
  179. arr[i] = arr[i].toLowerCase();
  180. }
  181. }
  182. function ToCamelCases(arr) {
  183. for (var i = 0; i < arr.length; i++) {
  184. arr[i] = arr[i].charAt(0) + arr[i].slice(1).toLowerCase();
  185. }
  186. }
  187. function ReplaceKeyWords(text, keywords) {
  188. for (var k = 0; k < keywords.length; k++) {
  189. text = text.replace(new RegExp("([^a-zA-Z0-9_@]|^)" + keywords[k] + "([^a-zA-Z0-9_]|$)", 'gi'), "$1" + keywords[k] + "$2");
  190. }
  191. return text;
  192. }
  193. function SetKeywordCase(input, keywordcase, keywords, typenames) {
  194. let inputcase = keywordcase.toLowerCase();
  195. if (inputcase == "lowercase") {
  196. ToLowerCases(keywords);
  197. ToLowerCases(typenames);
  198. }
  199. else if (inputcase == "defaultcase") {
  200. ToCamelCases(keywords);
  201. ToCamelCases(typenames);
  202. }
  203. if (inputcase != "uppercase") {
  204. input = ReplaceKeyWords(input, keywords);
  205. input = ReplaceKeyWords(input, typenames);
  206. }
  207. return input;
  208. }
  209. function SetNewLinesAfterSymbols(text, newLineSettings) {
  210. if (newLineSettings == null) {
  211. return text;
  212. }
  213. if (newLineSettings.newLineAfter != null) {
  214. newLineSettings.newLineAfter.forEach(symbol => {
  215. let regex = new RegExp("(" + symbol.toUpperCase() + ")[ ]?([^ \r\n@])", "g");
  216. text = text.replace(regex, '$1\r\n$2');
  217. });
  218. }
  219. if (newLineSettings.noNewLineAfter != null) {
  220. newLineSettings.noNewLineAfter.forEach(symbol => {
  221. let regex = new RegExp("(" + symbol.toUpperCase() + ")[ \r\n]+([^@])", "g");
  222. text = text.replace(regex, '$1 $2');
  223. });
  224. }
  225. return text;
  226. }
  227. exports.SetNewLinesAfterSymbols = SetNewLinesAfterSymbols;
  228. class BeautifierSettings {
  229. constructor(removeComments, removeReport, checkAlias, signAlign, signAlignAll, keywordCase, indentation, newLineSettings) {
  230. this.RemoveComments = removeComments;
  231. this.RemoveAsserts = removeReport;
  232. this.CheckAlias = checkAlias;
  233. this.SignAlign = signAlign;
  234. this.SignAlignAll = signAlignAll;
  235. this.KeywordCase = keywordCase;
  236. this.Indentation = indentation;
  237. this.NewLineSettings = newLineSettings;
  238. }
  239. }
  240. exports.BeautifierSettings = BeautifierSettings;
  241. let KeyWords = ["ABS", "ACCESS", "AFTER", "ALIAS", "ALL", "AND", "ARCHITECTURE", "ARRAY", "ASSERT", "ATTRIBUTE", "BEGIN", "BLOCK", "BODY", "BUFFER", "BUS", "CASE", "COMPONENT", "CONFIGURATION", "CONSTANT", "CONTEXT", "COVER", "DISCONNECT", "DOWNTO", "DEFAULT", "ELSE", "ELSIF", "END", "ENTITY", "EXIT", "FAIRNESS", "FILE", "FOR", "FORCE", "FUNCTION", "GENERATE", "GENERIC", "GROUP", "GUARDED", "IF", "IMPURE", "IN", "INERTIAL", "INOUT", "IS", "LABEL", "LIBRARY", "LINKAGE", "LITERAL", "LOOP", "MAP", "MOD", "NAND", "NEW", "NEXT", "NOR", "NOT", "NULL", "OF", "ON", "OPEN", "OR", "OTHERS", "OUT", "PACKAGE", "PORT", "POSTPONED", "PROCEDURE", "PROCESS", "PROPERTY", "PROTECTED", "PURE", "RANGE", "RECORD", "REGISTER", "REJECT", "RELEASE", "REM", "REPORT", "RESTRICT", "RESTRICT_GUARANTEE", "RETURN", "ROL", "ROR", "SELECT", "SEQUENCE", "SEVERITY", "SHARED", "SIGNAL", "SLA", "SLL", "SRA", "SRL", "STRONG", "SUBTYPE", "THEN", "TO", "TRANSPORT", "TYPE", "UNAFFECTED", "UNITS", "UNTIL", "USE", "VARIABLE", "VMODE", "VPROP", "VUNIT", "WAIT", "WHEN", "WHILE", "WITH", "XNOR", "XOR"];
  242. let TypeNames = ["BOOLEAN", "BIT", "CHARACTER", "INTEGER", "TIME", "NATURAL", "POSITIVE", "STRING"];
  243. function beautify(input, settings) {
  244. var arr = input.split("\r\n");
  245. var comments = [], commentsIndex = 0;
  246. commentsIndex = EscapeComments(arr, comments, commentsIndex);
  247. if (settings.RemoveComments) {
  248. input = input.replace(/@@comments[0-9]+/g, '');
  249. commentsIndex = 0;
  250. }
  251. input = arr.join("\r\n");
  252. input = RemoveExtraNewLines(input);
  253. input = input.replace(/[\t ]+/g, ' ');
  254. input = input.replace(/\([\t ]+/g, '\(');
  255. input = input.replace(/[ ]+;/g, ';');
  256. input = input.replace(/:[ ]*(PROCESS|ENTITY)/gi, ':$1');
  257. input = ReplaceKeyWords(input, KeyWords);
  258. input = ReplaceKeyWords(input, TypeNames);
  259. arr = input.split("\r\n");
  260. ReserveSemicolonInKeywords(arr);
  261. input = arr.join("\r\n");
  262. input = input.replace(/(PORT|PROCESS|GENERIC)[\s]*\(/g, '$1 (');
  263. input = SetNewLinesAfterSymbols(input, settings.NewLineSettings);
  264. input = beautify2(input, settings);
  265. for (var k = 0; k < commentsIndex; k++) {
  266. input = input.replace(ILCommentPrefix + k, comments[k]);
  267. }
  268. input = input.replace(/@@semicolon/g, ";");
  269. input = input.replace(/@@[a-z]+/g, "");
  270. return input;
  271. }
  272. exports.beautify = beautify;
  273. function beautify2(input, settings) {
  274. let arr = input.split("\r\n");
  275. let quotes = EscapeQuotes(arr);
  276. if (settings.RemoveAsserts) {
  277. RemoveAsserts(arr); //RemoveAsserts must be after EscapeQuotes
  278. }
  279. ApplyNoNewLineAfter(arr, settings.NewLineSettings.noNewLineAfter);
  280. var align = [], align_max = [], align_i1 = 0, align_i = 0;
  281. var str = "", str1 = "";
  282. var p = 0;
  283. var n = 0, j = 0;
  284. var tab_n = 0, str_len = 0, port_s = "";
  285. var back_tab = false, forward_tab = false, semi_pos = 0, begin_b = true, port_b = false;
  286. var before_begin = true;
  287. var l = arr.length;
  288. for (i = 0; i < l; i++) {
  289. if (arr[i].indexOf("BEGIN") >= 0) {
  290. before_begin = false;
  291. }
  292. if (port_s) {
  293. port_s += arr[i];
  294. var k_port = port_s.split("(").length;
  295. if (k_port == port_s.split(")").length) {
  296. arr[i] = arr[i] + "@@end";
  297. port_s = "";
  298. port_b = false;
  299. }
  300. }
  301. if ((!port_b && arr[i].regexIndexOf(/(\s|\(|^)(PORT|GENERIC|PROCESS|PROCEDURE)(\s|\(|$)/) >= 0)
  302. || (arr[i].regexIndexOf(/:[ ]?=[ ]?\(/) >= 0 && before_begin)) {
  303. port_b = true;
  304. port_s = arr[i];
  305. var k_port = port_s.split("(").length;
  306. if (k_port == 1) {
  307. port_b = false;
  308. port_s = "";
  309. }
  310. else if (k_port == port_s.split(")").length) {
  311. port_s = "";
  312. port_b = false;
  313. arr[i] = arr[i] + "@@singleend";
  314. }
  315. else {
  316. arr[i] = arr[i].replace(/(PORT|GENERIC|PROCEDURE)([a-z0-9A-Z_ ]+)\(([a-zA-Z0-9_\(\) ]+)/, '$1$2(\r\n$3');
  317. }
  318. }
  319. }
  320. input = arr.join("\r\n");
  321. input = input.replace(/([a-zA-Z0-9\); ])\);(@@comments[0-9]+)?@@end/g, '$1\r\n);$2@@end');
  322. input = input.replace(/[ ]?([&=:\-<>\+|\*])[ ]?/g, ' $1 ');
  323. input = input.replace(/[ ]?([,])[ ]?/g, '$1 ');
  324. input = input.replace(/[ ]?(['"])(THEN)/g, '$1 $2');
  325. input = input.replace(/[ ]?(\?)?[ ]?(<|:|>|\/)?[ ]+(=)?[ ]?/g, ' $1$2$3 ');
  326. input = input.replace(/(IF)[ ]?([\(\)])/g, '$1 $2');
  327. input = input.replace(/([\(\)])[ ]?(THEN)/gi, '$1 $2');
  328. input = input.replace(/(^|[\(\)])[ ]?(AND|OR|XOR|XNOR)[ ]*([\(])/g, '$1 $2 $3');
  329. input = input.replace(/ ([\-\*\/=+<>])[ ]*([\-\*\/=+<>]) /g, " $1$2 ");
  330. input = input.replace(/\r\n[ \t]+--\r\n/g, "\r\n");
  331. input = input.replace(/[ ]+/g, ' ');
  332. input = input.replace(/\r\n\r\n\r\n/g, '\r\n');
  333. input = input.replace(/[\r\n\s]+$/g, '');
  334. input = input.replace(/[ \t]+\)/g, ')');
  335. var matches = input.match(/'([a-zA-Z]+)\s/g);
  336. if (matches != null) {
  337. for (var k2 = 0; k2 < matches.length; k2++) {
  338. input = input.replace(matches[k2], matches[k2].toUpperCase());
  339. }
  340. }
  341. input = input.replace(/(MAP)[ \r\n]+\(/g, '$1(');
  342. //input = input.replace(/(;|THEN)[ ]?(@@comments[0-9]+)([a-zA-Z])/g, '$1 $2\r\n$3');
  343. input = input.replace(/[\r\n ]+RETURN/g, ' RETURN');
  344. input = input.replace(/BEGIN[\r\n ]+/g, 'BEGIN\r\n');
  345. input = input.replace(/ (PORT|GENERIC) /g, '\r\n$1 ');
  346. if (settings.CheckAlias) {
  347. var alias = [], subarr = [], o = 0, p = 0, p2 = 0, l2 = 0, i2 = 0;
  348. arr = input.split("ARCHITECTURE ");
  349. l = arr.length;
  350. for (i = 0; i < l; i++) {
  351. subarr = arr[i].split("ALIAS ");
  352. l2 = subarr.length;
  353. if (l2 > 1) {
  354. o = 0;
  355. for (i2 = 1; i2 < l2; i2++) {
  356. o = subarr[i2].indexOf(";", n);
  357. str = subarr[i2].substring(0, o);
  358. alias[p2++] = str.split(" IS ");
  359. }
  360. i2--;
  361. var str2 = subarr[i2].substr(o);
  362. for (p = 0; p < p2; p++) {
  363. var reg = new RegExp(alias[p][1], 'gi');
  364. str2 = str2.replace(reg, alias[p][0]);
  365. }
  366. subarr[i2] = subarr[i2].substring(0, o) + str2;
  367. }
  368. arr[i] = subarr.join("ALIAS ");
  369. }
  370. input = arr.join("ARCHITECTURE ");
  371. }
  372. arr = input.split("\r\n");
  373. l = arr.length;
  374. var signAlignPos = "";
  375. var if_b = 0, white_space = "", case_b = false, case_n = 0, procfun_b = false, semi_b = false, set_false = false, entity_b = false, then_b = false, conditional_b = false, generic_map_b = false, architecture_begin_b = false, process_begin_b = false, case_indent = [0, 0, 0, 0, 0, 0, 0];
  376. var align_groups = [], align_groups_max = [], lastAlignedSign = "", current_align_group = 0, aligned_group_starts = 0;
  377. var indent_start = [];
  378. for (i = 0; i < l; i++) {
  379. str = arr[i];
  380. str_len = str.length;
  381. if (str.replace(/[ \-\t]*/, "").length > 0) {
  382. var first_word = str.split(/[^\w]/)[0];
  383. var indent_start_last = indent_start.length == 0 ? 0 : indent_start[indent_start.length - 1];
  384. if (then_b) {
  385. arr[i] = " " + arr[i];
  386. if (str.indexOf(" THEN") >= 0) {
  387. then_b = false;
  388. back_tab = true;
  389. }
  390. }
  391. arr[i] = white_space + arr[i];
  392. if (first_word == "ELSIF") {
  393. tab_n = indent_start_last - 1;
  394. indent_start.pop();
  395. back_tab = true;
  396. }
  397. else if (str.indexOf("END CASE") == 0) {
  398. indent_start.pop();
  399. case_n--;
  400. tab_n = indent_start[indent_start.length - 1];
  401. }
  402. else if (first_word == "END") {
  403. tab_n = indent_start_last - 1;
  404. indent_start.pop();
  405. if (str.indexOf("END IF") == 0) {
  406. if_b--;
  407. }
  408. if (i == l - 1) {
  409. tab_n = 1;
  410. }
  411. }
  412. else if (first_word == "ELSE" && if_b) {
  413. tab_n = indent_start_last - 1;
  414. indent_start.pop();
  415. back_tab = true;
  416. }
  417. else if (case_n) {
  418. if (first_word == "WHEN") {
  419. tab_n = case_indent[case_n - 1];
  420. //back_tab = true;
  421. }
  422. }
  423. else if (first_word == "BEGIN") {
  424. if (begin_b) {
  425. if (architecture_begin_b) {
  426. tab_n = indent_start_last - 1;
  427. architecture_begin_b = false;
  428. }
  429. else if (process_begin_b) {
  430. tab_n = indent_start_last - 1;
  431. process_begin_b = false;
  432. }
  433. else {
  434. tab_n = indent_start_last;
  435. indent_start.push(tab_n + 1);
  436. }
  437. //indent_start.pop();
  438. back_tab = true;
  439. begin_b = false;
  440. if (procfun_b) {
  441. tab_n++;
  442. indent_start.push(tab_n);
  443. begin_b = true;
  444. }
  445. }
  446. else {
  447. back_tab = true;
  448. }
  449. }
  450. else if (first_word == "PROCESS") {
  451. begin_b = true;
  452. }
  453. else if (str.indexOf(": PROCESS") >= 0) {
  454. back_tab = true;
  455. begin_b = true;
  456. process_begin_b = true;
  457. }
  458. else if (str.indexOf(": ENTITY") >= 0) {
  459. back_tab = true;
  460. entity_b = true;
  461. }
  462. else if (str.indexOf("PROCEDURE ") >= 0) {
  463. back_tab = true;
  464. begin_b = true;
  465. }
  466. if (port_b && str.indexOf("@@") < 0) {
  467. if (i + 1 <= arr.length - 1 && arr[i + 1].indexOf("@@") < 0) {
  468. if (signAlignPos == ":") {
  469. if (str.indexOf(';') < 0) {
  470. arr[i] += arr[i + 1];
  471. arr[i + 1] = '@@removeline';
  472. }
  473. }
  474. else if (signAlignPos == "=>") {
  475. if (str.indexOf(',') < 0) {
  476. arr[i] += arr[i + 1];
  477. arr[i + 1] = '@@removeline';
  478. }
  479. }
  480. }
  481. }
  482. if (str.indexOf("PORT MAP") >= 0) {
  483. back_tab = true;
  484. port_b = true;
  485. if (str.indexOf(");") < 0) {
  486. align_i1 = align_i;
  487. var t = str.indexOf("=>");
  488. if (t >= 0) {
  489. signAlignPos = "=>";
  490. }
  491. else {
  492. if (i + 1 < arr.length) {
  493. t = arr[i + 1].indexOf("=>");
  494. if (t >= 0) {
  495. signAlignPos = "=>";
  496. }
  497. }
  498. }
  499. }
  500. else {
  501. signAlignPos = "";
  502. }
  503. }
  504. else if (str.indexOf("GENERIC MAP") >= 0) {
  505. tab_n++;
  506. indent_start.push(tab_n);
  507. generic_map_b = true;
  508. if (!begin_b) {
  509. back_tab = false;
  510. }
  511. }
  512. else if (str.indexOf("PORT (") >= 0 && begin_b) {
  513. back_tab = true;
  514. port_b = true;
  515. t = str.indexOf(":");
  516. if (str.indexOf(");") < 0) {
  517. align_i1 = align_i;
  518. if (t >= 0) {
  519. signAlignPos = ":";
  520. }
  521. else {
  522. t = arr[i + 1].indexOf(":");
  523. if (t >= 0) {
  524. signAlignPos = ":";
  525. }
  526. }
  527. }
  528. else {
  529. signAlignPos = "";
  530. }
  531. }
  532. if (set_false) {
  533. procfun_b = false;
  534. set_false = false;
  535. }
  536. if (str.indexOf("(") >= 0) {
  537. if (str.indexOf("PROCEDURE") >= 0 || str.indexOf("FUNCTION") >= 0) {
  538. procfun_b = true;
  539. back_tab = true;
  540. }
  541. if ((str.indexOf("GENERIC") >= 0 || str.indexOf(":= (") >= 0 || str.regexIndexOf(/PROCEDURE[a-zA-Z0-9_ ]+\(/) >= 0) && begin_b) {
  542. port_b = true;
  543. back_tab = true;
  544. }
  545. }
  546. else if (first_word == "FUNCTION") {
  547. back_tab = true;
  548. begin_b = true;
  549. }
  550. if (str.indexOf("@@singleend") >= 0) {
  551. back_tab = false;
  552. port_b = false;
  553. if (!begin_b) {
  554. forward_tab = true;
  555. }
  556. }
  557. else if (str.indexOf("@@end") >= 0 && port_b) {
  558. port_b = false;
  559. indent_start.pop();
  560. tab_n = indent_start[indent_start.length - 1];
  561. if (entity_b) {
  562. forward_tab = true;
  563. }
  564. if (generic_map_b) {
  565. forward_tab = true;
  566. generic_map_b = false;
  567. }
  568. }
  569. if (settings.SignAlignAll) {
  570. var alignedSigns = [":", "<=", "=>"];
  571. for (var currentSign = 0; currentSign < alignedSigns.length; currentSign++) {
  572. if (str.indexOf(alignedSigns[currentSign]) > 0) {
  573. var char_before_sign = str.split(alignedSigns[currentSign])[0];
  574. var char_before_sign_length = char_before_sign.length;
  575. align_groups.push(char_before_sign_length);
  576. align_groups_max.push(char_before_sign_length);
  577. if (alignedSigns[currentSign] == lastAlignedSign) {
  578. if (align_groups_max[current_align_group - 1] < char_before_sign_length) {
  579. for (var k3 = aligned_group_starts; k3 <= current_align_group; k3++) {
  580. align_groups_max[k3] = char_before_sign_length;
  581. }
  582. }
  583. else {
  584. align_groups_max[current_align_group] = align_groups_max[current_align_group - 1];
  585. }
  586. }
  587. else {
  588. aligned_group_starts = current_align_group;
  589. }
  590. arr[i] = char_before_sign + "@@alignall" + (current_align_group++) + str.substring(char_before_sign.length, arr[i].length);
  591. lastAlignedSign = alignedSigns[currentSign];
  592. break;
  593. }
  594. }
  595. if (currentSign == alignedSigns.length) {
  596. lastAlignedSign = "";
  597. }
  598. }
  599. else if (settings.SignAlign) {
  600. if (port_b && signAlignPos != "") {
  601. if (str.indexOf(signAlignPos) >= 0) {
  602. var a1 = arr[i].split(signAlignPos);
  603. var l1 = a1[0].length;
  604. if (align_i >= 0 && align_i > align_i1) {
  605. align_max[align_i] = align_max[align_i - 1];
  606. }
  607. else {
  608. align_max[align_i] = l1;
  609. }
  610. if (align_i > align_i1 && align_max[align_i] < l1) {
  611. for (var k3 = align_i1; k3 <= align_i; k3++) {
  612. align_max[k3] = l1;
  613. }
  614. }
  615. align[align_i] = l1;
  616. arr[i] = a1[0] + "@@align" + (align_i++) + signAlignPos + arr[i].substring(l1 + signAlignPos.length, arr[i].length);
  617. }
  618. }
  619. }
  620. tab_n = tab_n < 1 ? 1 : tab_n;
  621. if (str_len) {
  622. if (isTesting) {
  623. console.log(tab_n, arr[i], indent_start);
  624. }
  625. arr[i] = (Array(tab_n).join(settings.Indentation)) + arr[i]; //indent
  626. if (settings.NewLineSettings.newLineAfter.indexOf("port")) {
  627. if (str.indexOf('@@singleend') < 0) {
  628. arr[i] = arr[i].replace(/(PORT)([ \r\n\w]*)\(/, "$1$2\r\n" + (Array(tab_n).join(settings.Indentation)) + "(");
  629. }
  630. }
  631. if (settings.NewLineSettings.newLineAfter.indexOf("generic")) {
  632. if (str.indexOf('@@singleend') < 0) {
  633. arr[i] = arr[i].replace(/(GENERIC)([ \r\n\w]*)\(/, "$1$2\r\n" + (Array(tab_n).join(settings.Indentation)) + "(");
  634. }
  635. }
  636. }
  637. if (back_tab) {
  638. tab_n++;
  639. indent_start.push(tab_n);
  640. back_tab = false;
  641. }
  642. if (forward_tab) {
  643. tab_n = indent_start_last;
  644. indent_start.pop();
  645. forward_tab = false;
  646. }
  647. if (conditional_b && str.indexOf(";") >= 0) {
  648. conditional_b = false;
  649. white_space = "";
  650. }
  651. else if (str.indexOf(";") >= 0 && semi_b) {
  652. semi_b = false;
  653. tab_n = indent_start_last;
  654. indent_start.pop();
  655. }
  656. else if (!semi_b && str.indexOf(";") < 0 && !port_b) {
  657. if (!conditional_b) {
  658. if (str.indexOf("WHEN") > 3 && str.indexOf("<=") > 1) {
  659. conditional_b = true;
  660. white_space = (Array(str.indexOf("= ") + 3).join(" "));
  661. }
  662. else if (first_word == "WHEN" && i + 1 < arr.length && arr[i + 1].indexOf("WHEN") < 0) {
  663. tab_n = indent_start_last + 1;
  664. }
  665. else if (str.indexOf("=>") < 0 && ((str.indexOf(ILQuotesPrefix) >= 0 && str.indexOf("= " + ILQuotesPrefix) < 0 && str.indexOf("IF") < 0) || (str.indexOf("<=") > 0 && str.indexOf("IF") < 0 && str.indexOf("THEN") < 0))) {
  666. tab_n++;
  667. indent_start.push(tab_n);
  668. semi_b = true;
  669. }
  670. }
  671. }
  672. if (first_word == "ENTITY") {
  673. tab_n++;
  674. indent_start.push(tab_n);
  675. }
  676. else if (",RECORD,PACKAGE,FOR,COMPONENT,CONFIGURATION,".indexOf("," + first_word + ",") >= 0) {
  677. tab_n++;
  678. indent_start.push(tab_n);
  679. }
  680. else if (str.indexOf(": FOR ") >= 0) {
  681. tab_n++;
  682. indent_start.push(tab_n);
  683. }
  684. else if (first_word == "CASE" || str.indexOf(": CASE") >= 0) {
  685. tab_n++;
  686. indent_start.push(tab_n);
  687. case_indent[case_n] = tab_n;
  688. case_n++;
  689. }
  690. else if (first_word == "ARCHITECTURE") {
  691. tab_n++;
  692. indent_start.push(tab_n);
  693. begin_b = true;
  694. architecture_begin_b = true;
  695. }
  696. else if (first_word == "IF") {
  697. if_b++;
  698. tab_n++;
  699. indent_start.push(tab_n);
  700. if (str.indexOf(" THEN") < 0) {
  701. then_b = true;
  702. tab_n = indent_start_last;
  703. //indent_start.pop();
  704. }
  705. }
  706. if (procfun_b) {
  707. if (str.regexIndexOf(/(\))|(RETURN [A-Za-z0-9 ]+)[\r\n ]+IS/) >= 0) {
  708. tab_n = indent_start_last;
  709. indent_start.pop();
  710. set_false = true;
  711. }
  712. }
  713. }
  714. }
  715. input = arr.join("\r\n");
  716. input = input.replace(/[\t]*@@removeline\r\n/g, '');
  717. p = input.indexOf('PROCESS');
  718. while (p >= 0) {
  719. let nextBracket = input.indexOf('(', p);
  720. let nextNewLine = input.indexOf('\r\n', p);
  721. let nextCloseBracket = input.indexOf(')', nextBracket);
  722. if (nextBracket < nextNewLine && nextCloseBracket > nextNewLine) {
  723. let processArray = input.substring(p, nextCloseBracket).split('\r\n');
  724. if (settings.Indentation.replace(/[ ]+/g, '').length == 0) {
  725. for (var i = 1; i < processArray.length; i++) {
  726. processArray[i] = (Array(nextBracket - p + 2).join(' ')) + processArray[i];
  727. }
  728. }
  729. else {
  730. for (var i = 1; i < processArray.length; i++) {
  731. processArray[i] = settings.Indentation + processArray[i];
  732. }
  733. }
  734. input = input.substring(0, p) + processArray.join('\r\n') + input.substring(nextCloseBracket, input.length);
  735. p = input.regexIndexOf('PROCESS[ ]+\\(', nextCloseBracket);
  736. }
  737. else {
  738. p = input.indexOf('PROCESS[ ]+\\(', p + 7);
  739. }
  740. }
  741. input = SetKeywordCase(input, settings.KeywordCase, KeyWords, TypeNames);
  742. if (settings.SignAlignAll) {
  743. for (var k = 0; k < current_align_group; k++) {
  744. input = input.replace("@@alignall" + k, Array((align_groups_max[k] - align_groups[k] + 1)).join(" "));
  745. }
  746. }
  747. if (settings.SignAlign) {
  748. for (var k = 0; k < align_i; k++) {
  749. input = input.replace("@@align" + k, Array((align_max[k] - align[k] + 2)).join(" "));
  750. }
  751. }
  752. for (var k = 0; k < quotes.length; k++) {
  753. input = input.replace(ILQuotesPrefix + k, quotes[k]);
  754. }
  755. input = input.replace(/@@singleline[ \r\n]*/, " ");
  756. return input;
  757. }
  758. function ReserveSemicolonInKeywords(arr) {
  759. for (let i = 0; i < arr.length; i++) {
  760. if (arr[i].match(/FUNCTION|PROCEDURE/) != null) {
  761. arr[i] = arr[i].replace(/;/g, '@@semicolon');
  762. }
  763. }
  764. }
  765. function ApplyNoNewLineAfter(arr, noNewLineAfter) {
  766. if (noNewLineAfter == null) {
  767. return;
  768. }
  769. for (let i = 0; i < arr.length; i++) {
  770. noNewLineAfter.forEach(n => {
  771. let regex = new RegExp("(" + n.toUpperCase + ")[ a-z0-9]+[a-z0-9]+");
  772. if (arr[i].regexIndexOf(regex) >= 0) {
  773. arr[i] += "@@singleline";
  774. }
  775. });
  776. }
  777. }
  778. exports.ApplyNoNewLineAfter = ApplyNoNewLineAfter;
  779. function RemoveAsserts(arr) {
  780. let need_semi = false;
  781. let inAssert = false;
  782. let n = 0;
  783. for (let i = 0; i < arr.length; i++) {
  784. let has_semi = arr[i].indexOf(";") >= 0;
  785. if (need_semi) {
  786. arr[i] = '';
  787. }
  788. n = arr[i].indexOf("ASSERT ");
  789. if (n >= 0) {
  790. inAssert = true;
  791. arr[i] = '';
  792. }
  793. if (!has_semi) {
  794. if (inAssert) {
  795. need_semi = true;
  796. }
  797. }
  798. else {
  799. need_semi = false;
  800. }
  801. }
  802. }
  803. exports.RemoveAsserts = RemoveAsserts;
  804. function EscapeQuotes(arr) {
  805. let quotes = [];
  806. let quotesIndex = 0;
  807. for (let i = 0; i < arr.length; i++) {
  808. let quote = arr[i].match(/"([^"]+)"/g);
  809. if (quote != null) {
  810. for (var j = 0; j < quote.length; j++) {
  811. arr[i] = arr[i].replace(quote[j], ILQuotesPrefix + quotesIndex);
  812. quotes[quotesIndex++] = quote[j];
  813. }
  814. }
  815. }
  816. return quotes;
  817. }
  818. function RemoveExtraNewLines(input) {
  819. input = input.replace(/(?:\r\n|\r|\n)/g, '\r\n');
  820. input = input.replace(/ \r\n/g, '\r\n');
  821. input = input.replace(/\r\n\r\n\r\n/g, '\r\n');
  822. return input;
  823. }
  824. //# sourceMappingURL=VHDLFormatter.js.map