diff --git a/README.md b/README.md index ae8afa8..e95936d 100644 --- a/README.md +++ b/README.md @@ -49,6 +49,11 @@ Vivado is a pain in the ass to source control decently, so these scripts provide │ ├── testbench │ │ ├── *.v │ │ └── *.vhd + │ ├── blockdesign + │ │ ├── ui + │ │ ├── ip + │ │ ├── *.bd + │ │ └── ... │ └── ... └── vivado_project/ # Untracked generated files ├── project_name.xpr diff --git a/scripts/git_wrapper.tcl b/scripts/git_wrapper.tcl index 2d54846..306b81e 100644 --- a/scripts/git_wrapper.tcl +++ b/scripts/git_wrapper.tcl @@ -53,7 +53,7 @@ namespace eval ::git_wrapper { set proj_file [current_project].tcl # Generate project and add it - write_project_tcl_git -no_copy_sources -force $proj_file + write_project_tcl_git -no_copy_sources -use_bd_files -force $proj_file puts $proj_file exec git add $proj_file @@ -76,6 +76,6 @@ namespace eval ::git_wrapper { # Generate project set proj_file [current_project].tcl puts $proj_file - write_project_tcl_git -no_copy_sources -force $proj_file + write_project_tcl_git -no_copy_sources -use_bd_files -force $proj_file } }