diff --git a/scripts/write_project_tcl_git.tcl b/scripts/write_project_tcl_git.tcl index 6fa5988..cdf56ac 100644 --- a/scripts/write_project_tcl_git.tcl +++ b/scripts/write_project_tcl_git.tcl @@ -852,6 +852,11 @@ proc write_props { proj_dir proj_name get_what tcl_obj type } { # skip read-only properties if { [lsearch $read_only_props $prop] != -1 } { continue } + # skip ip_output_repo (contains absolute path) + if { + [string equal $type "project"] && [string equal -nocase $prop "ip_output_repo"] + } { continue } + set prop_type "unknown" if { [string equal $type "run"] } { if { [regexp "STEPS" $prop] } {