19 Commits (master)

Author SHA1 Message Date
  Dennis Buchhorn 79bfdbc0d3 feat: update documentation 2 years ago
  Dennis Buchhorn a39e8d3c6a feat: change folder vivado_project to work_dir 2 years ago
  Ricardo Barbedo c0a92acd45 Align with Vivado 2020.2 3 years ago
  Ricardo Barbedo 0d23a984f3 Align with Vivado 2020.1 3 years ago
  Ricardo Barbedo cd4a246ba3 Fix typos 3 years ago
  Ricardo Barbedo ba3730a542 Filter out IP repos outside of the project directory 4 years ago
  Ricardo Barbedo b87abb1f42 Generate scripts for block designs instead of using BD files 4 years ago
  Ricardo Barbedo 94079a71e7 Filter out board repos outside of the project directory 4 years ago
  Ricardo Barbedo 72579aea69 Align write_project_tcl_git with Vivado 2019.2 4 years ago
  Ricardo Barbedo feaaf09417 Align write_project_tcl_git with Vivado 2018.2 6 years ago
  Ricardo Barbedo 517b68ca87 Align write_project_tcl_git with Vivado 2018.1 6 years ago
  Ricardo Barbedo 9de957f0c1 Fix #2 by adding support to bd files 6 years ago
  Ricardo Barbedo cc14fbbd0e Rename vivado_proj to vivado_project 6 years ago
  Ricardo Barbedo a77eecc2e6 Add wproj command 6 years ago
  Ricardo Barbedo 9533c94b3f Add instructions for Linux 6 years ago
  Ricardo Barbedo 1ff7342048 Rename init script for Vivado 2017.x 6 years ago
  Ricardo Barbedo 4615d40ff0 Rename the work folder to vivado_proj 6 years ago
  Ricardo Barbedo 31defde5f9 Improve readme 7 years ago
  Ricardo Barbedo 0333c937a7 Initial commit 8 years ago