You can not select more than 25 topics Topics must start with a letter or number, can include dashes ('-') and can be up to 35 characters long.

254 lines
16 KiB

6 years ago
6 years ago
6 years ago
6 years ago
6 years ago
6 years ago
6 years ago
6 years ago
6 years ago
6 years ago
6 years ago
6 years ago
6 years ago
6 years ago
6 years ago
6 years ago
6 years ago
6 years ago
6 years ago
6 years ago
6 years ago
6 years ago
6 years ago
6 years ago
6 years ago
  1. import { beautify } from "./VHDLFormatter";
  2. import { indentDecode } from "./VHDLFormatter";
  3. import { NewLineSettings } from "./VHDLFormatter";
  4. import { BeautifierSettings } from "./VHDLFormatter";
  5. import { RemoveAsserts } from "./VHDLFormatter";
  6. import { ApplyNoNewLineAfter } from "./VHDLFormatter";
  7. var showUnitTests = true;//window.location.href.indexOf("http") < 0;
  8. if (showUnitTests) {
  9. UnitTest();
  10. UnitTestIndentDecode();
  11. UnitTestRemoveAsserts();
  12. UnitTestApplyNoNewLineAfter();
  13. }
  14. interface Function {
  15. readonly name: string;
  16. }
  17. function UnitTestApplyNoNewLineAfter() {
  18. console.log("=== ApplyNoNewLineAfter ===");
  19. let input: Array<string> = ["a;", "b;"];
  20. let expected: Array<string> = ["a;@@singleline","b;@@singleline"];
  21. let parameters: Array<string> = [";"];
  22. UnitTest4(ApplyNoNewLineAfter, "one blankspace", parameters, input, expected);
  23. input = ["a;", "b THEN", "c"];
  24. expected = ["a;@@singleline", "b THEN@@singleline", "c"];
  25. parameters = [";", "then"];
  26. UnitTest4(ApplyNoNewLineAfter, "one blankspace", parameters, input, expected);
  27. }
  28. function UnitTestRemoveAsserts() {
  29. console.log("=== RemoveAsserts ===");
  30. let input: Array<string> = ["ASSERT a;"];
  31. let expected: Array<string> = [""];
  32. UnitTest3(RemoveAsserts, "one assert", input, expected);
  33. input = ["ASSERT a", "b;", "c"];
  34. expected = ["", "", "c"];
  35. UnitTest3(RemoveAsserts, "multiline assert", input, expected);
  36. }
  37. function UnitTestIndentDecode() {
  38. console.log("=== IndentDecode ===");
  39. UnitTest2(indentDecode, "one blankspace", " ", "one blankspace");
  40. UnitTest2(indentDecode, "mixed chars", " A ", "one blankspace & one A & one blankspace");
  41. UnitTest2(indentDecode, "4 blankspaces", " ", "four blankspace");
  42. UnitTest2(indentDecode, "9 blankspaces", " ", "many blankspace");
  43. }
  44. function assert(testName, expected, actual, message?) {
  45. var result = CompareString(actual, expected);
  46. if (result != true) {
  47. console.log(testName + " failed: " + result);
  48. }
  49. else {
  50. //console.log(testName + " pass");
  51. }
  52. }
  53. function assertArray(testName, expected, actual, message?) {
  54. var result = CompareArray(actual, expected);
  55. if (result != true) {
  56. console.log(testName + " failed: " + result);
  57. }
  58. else {
  59. //console.log(testName + " pass");
  60. }
  61. }
  62. type StringCallback = (text: string) => string;
  63. type ArrayCallback = (arr: Array<string>) => void;
  64. type Array2Callback = (arr: Array<string>, parameters: Array<string>) => void;
  65. function UnitTest4(func: Array2Callback, testName: string, parameters: Array<string>, inputs: Array<string>, expected: Array<string>) {
  66. let actual = JSON.parse(JSON.stringify(inputs));
  67. func(actual, parameters);
  68. assertArray(testName, expected, actual);
  69. }
  70. function UnitTest3(func: ArrayCallback, testName: string, inputs: Array<string>, expected: Array<string>) {
  71. let actual = JSON.parse(JSON.stringify(inputs));
  72. func(actual);
  73. assertArray(testName, expected, actual);
  74. }
  75. function UnitTest2(func: StringCallback, testName: string, inputs, expected: string) {
  76. let actual: string = func(inputs);
  77. assert(testName, expected, actual);
  78. }
  79. function deepCopy(objectToCopy: BeautifierSettings): BeautifierSettings {
  80. return (JSON.parse(JSON.stringify(objectToCopy)));
  81. }
  82. function UnitTest() {
  83. let new_line_after_symbols: NewLineSettings = new NewLineSettings();
  84. new_line_after_symbols.newLineAfter = ["then", ";"];
  85. new_line_after_symbols.noNewLineAfter = ["port", "generic"];
  86. let settings: BeautifierSettings = new BeautifierSettings(false, false, false, false, false, "uppercase", " ", new_line_after_symbols);
  87. let input = "architecture TB of TB_CPU is\r\n component CPU_IF\r\n port -- port list\r\n end component;\r\n signal CPU_DATA_VALID: std_ulogic;\r\n signal CLK, RESET: std_ulogic := '0';\r\n constant PERIOD : time := 10 ns;\r\n constant MAX_SIM: time := 50 * PERIOD;\r\n begin\r\n -- concurrent statements\r\n end TB;"
  88. let expected = "ARCHITECTURE TB OF TB_CPU IS\r\n COMPONENT CPU_IF\r\n PORT -- port list\r\n END COMPONENT;\r\n SIGNAL CPU_DATA_VALID : std_ulogic;\r\n SIGNAL CLK, RESET : std_ulogic := '0';\r\n CONSTANT PERIOD : TIME := 10 ns;\r\n CONSTANT MAX_SIM : TIME := 50 * PERIOD;\r\nBEGIN\r\n -- concurrent statements\r\nEND TB;";
  89. let actual = beautify(input, settings);
  90. console.log("General", CompareString(actual, expected));
  91. let newSettings = deepCopy(settings);
  92. newSettings.RemoveComments = true;
  93. expected = "ARCHITECTURE TB OF TB_CPU IS\r\n COMPONENT CPU_IF\r\n PORT \r\n END COMPONENT;\r\n SIGNAL CPU_DATA_VALID : std_ulogic;\r\n SIGNAL CLK, RESET : std_ulogic := '0';\r\n CONSTANT PERIOD : TIME := 10 ns;\r\n CONSTANT MAX_SIM : TIME := 50 * PERIOD;\r\nBEGIN\r\nEND TB;";
  94. actual = beautify(input, newSettings);
  95. console.log("Remove comments", CompareString(actual, expected));
  96. let new_line_after_symbols_2: NewLineSettings = new NewLineSettings();
  97. new_line_after_symbols_2.newLineAfter = [];
  98. new_line_after_symbols_2.noNewLineAfter = ["then", ";", "generic", "port"];
  99. newSettings = deepCopy(settings);
  100. newSettings.NewLineSettings = new_line_after_symbols_2;
  101. expected = "a; b; c;";
  102. input = "a; \r\nb;\r\n c;"
  103. actual = beautify(input, newSettings);
  104. console.log("Remove line after ;", CompareString(actual, expected));
  105. newSettings = deepCopy(settings);
  106. newSettings.RemoveAsserts = true;
  107. input = "architecture arch of ent is\r\nbegin\r\n assert False report sdfjcsdfcsdj;\r\n assert False report sdfjcsdfcsdj severity note;\r\nend architecture;";
  108. expected = "ARCHITECTURE arch OF ent IS\r\nBEGIN\r\nEND ARCHITECTURE;"
  109. actual = beautify(input, newSettings);
  110. console.log("Remove asserts", CompareString(actual, expected));
  111. input = "entity TB_DISPLAY is\r\n-- port declarations\r\nend TB_DISPLAY;\r\n\r\narchitecture TEST of TB_DISPLAY is\r\n-- signal declarations\r\nbegin\r\n-- component instance(s)\r\nend TEST;";
  112. expected = "ENTITY TB_DISPLAY IS\r\n -- port declarations\r\nEND TB_DISPLAY;\r\n\r\nARCHITECTURE TEST OF TB_DISPLAY IS\r\n -- signal declarations\r\nBEGIN\r\n -- component instance(s)\r\nEND TEST;";
  113. actual = beautify(input, settings);
  114. console.log("ENTITY ARCHITECTURE", CompareString(actual, expected));
  115. newSettings = deepCopy(settings);
  116. newSettings.SignAlign = true;
  117. input = "port map(\r\ninput_1 => input_1_sig,\r\ninput_2 => input_2_sig,\r\noutput => output_sig\r\n);";
  118. expected = "PORT MAP(\r\n input_1 => input_1_sig, \r\n input_2 => input_2_sig, \r\n output => output_sig\r\n);";
  119. actual = beautify(input, newSettings);
  120. console.log("Sign align in PORT", actual == expected);
  121. input = 'if a(3 downto 0) > "0100" then\r\na(3 downto 0) := a(3 downto 0) + "0011" ;\r\nend if ;';
  122. expected = 'IF a(3 DOWNTO 0) > "0100" THEN\r\n a(3 DOWNTO 0) := a(3 DOWNTO 0) + "0011";\r\nEND IF;';
  123. actual = beautify(input, settings);
  124. console.log("IF END IF case 1", CompareString(actual, expected));
  125. input = "if s = '1' then\r\no <= \"010\";\r\nelse\r\no <= \"101\";\r\nend if;";
  126. expected = "IF s = '1' THEN\r\n o <= \"010\";\r\nELSE\r\n o <= \"101\";\r\nEND IF;";
  127. actual = beautify(input, settings);
  128. console.log("IF ELSE END IF case 1", actual == expected);
  129. input = "IF (s = r) THEN rr := '0'; ELSE rr := '1'; END IF;";
  130. expected = "IF (s = r) THEN\r\n rr := '0';\r\nELSE\r\n rr := '1';\r\nEND IF;";
  131. actual = beautify(input, settings);
  132. console.log("IF ELSE END IF case 2", actual == expected);
  133. input = 'P1:process\r\nvariable x: Integer range 1 to 3;\r\nvariable y: BIT_VECTOR (0 to 1);\r\nbegin\r\n C1: case x is\r\n when 1 => Out_1 <= 0;\r\n when 2 => Out_1 <= 1;\r\n end case C1;\r\n C2: case y is\r\n when "00" => Out_2 <= 0;\r\n when "01" => Out_2 <= 1;\r\n end case C2;\r\nend process;';
  134. expected = 'P1 : PROCESS\r\n VARIABLE x : INTEGER RANGE 1 TO 3;\r\n VARIABLE y : BIT_VECTOR (0 TO 1);\r\nBEGIN\r\n C1 : CASE x IS\r\n WHEN 1 => Out_1 <= 0;\r\n WHEN 2 => Out_1 <= 1;\r\n END CASE C1;\r\n C2 : CASE y IS\r\n WHEN "00" => Out_2 <= 0;\r\n WHEN "01" => Out_2 <= 1;\r\n END CASE C2;\r\nEND PROCESS;';
  135. actual = beautify(input, settings);
  136. console.log("WHEN CASE", CompareString(actual, expected));
  137. input = "case READ_CPU_STATE is\r\n when WAITING =>\r\n if CPU_DATA_VALID = '1' then\r\n CPU_DATA_READ <= '1';\r\n READ_CPU_STATE <= DATA1;\r\n end if;\r\n when DATA1 =>\r\n -- etc.\r\nend case;";
  138. expected = "CASE READ_CPU_STATE IS\r\n WHEN WAITING => \r\n IF CPU_DATA_VALID = '1' THEN\r\n CPU_DATA_READ <= '1';\r\n READ_CPU_STATE <= DATA1;\r\n END IF;\r\n WHEN DATA1 => \r\n -- etc.\r\nEND CASE;";
  139. actual = beautify(input, settings);
  140. console.log("WHEN CASE & IF", CompareString(actual, expected));
  141. input = "entity aa is\r\n port (a : in std_logic;\r\n b : in std_logic;\r\n );\r\nend aa;\r\narchitecture bb of aa is\r\n component cc\r\n port(\r\n a : in std_logic;\r\n b : in std_logic;\r\n );\r\n end cc;\r\n\r\nbegin\r\n C : cc port map (\r\n long => a,\r\n b => b\r\n );\r\nend;";
  142. expected = "ENTITY aa IS\r\n PORT (\r\n a : IN std_logic;\r\n b : IN std_logic;\r\n );\r\nEND aa;\r\nARCHITECTURE bb OF aa IS\r\n COMPONENT cc\r\n PORT (\r\n a : IN std_logic;\r\n b : IN std_logic;\r\n );\r\n END cc;\r\n\r\nBEGIN\r\n C : cc\r\n PORT MAP(\r\n long => a, \r\n b => b\r\n );\r\nEND;";
  143. actual = beautify(input, settings);
  144. console.log("PORT MAP", CompareString(actual, expected));
  145. input = "entity aa is\r\n port (a : in std_logic;\r\n b : in std_logic;\r\n );\r\n port (a : in std_logic;\r\n b : in std_logic;\r\n );\r\nend aa;\r\narchitecture bb of aa is\r\n component cc\r\n port(\r\n a : in std_logic;\r\n b : in std_logic;\r\n );\r\n port(\r\n a : in std_logic;\r\n b : in std_logic;\r\n );\r\n end cc;\r\n\r\nbegin\r\n C : cc port map (\r\n long => a,\r\n b => b\r\n );\r\n D : cc port map (\r\n long => a,\r\n b => b\r\n );\r\nend;";
  146. expected = "ENTITY aa IS\r\n PORT (\r\n a : IN std_logic;\r\n b : IN std_logic;\r\n );\r\n PORT (\r\n a : IN std_logic;\r\n b : IN std_logic;\r\n );\r\nEND aa;\r\nARCHITECTURE bb OF aa IS\r\n COMPONENT cc\r\n PORT (\r\n a : IN std_logic;\r\n b : IN std_logic;\r\n );\r\n PORT (\r\n a : IN std_logic;\r\n b : IN std_logic;\r\n );\r\n END cc;\r\n\r\nBEGIN\r\n C : cc\r\n PORT MAP(\r\n long => a, \r\n b => b\r\n );\r\n D : cc\r\n PORT MAP(\r\n long => a, \r\n b => b\r\n );\r\nEND;";
  147. actual = beautify(input, settings);
  148. console.log("Multiple PORT MAPs", CompareString(actual, expected));
  149. input = "port (a : in std_logic;\r\n b : in std_logic;\r\n);";
  150. expected = "PORT \r\n(\r\n a : IN std_logic;\r\n b : IN std_logic;\r\n);";
  151. new_line_after_symbols_2 = new NewLineSettings();
  152. new_line_after_symbols_2.newLineAfter = ["then", ";", "generic", "port"];
  153. newSettings = deepCopy(settings);
  154. newSettings.NewLineSettings = new_line_after_symbols_2;
  155. actual = beautify(input, newSettings);
  156. console.log("New line after PORT", CompareString(actual, expected));
  157. input = "component a is\r\nport( Data : inout Std_Logic_Vector(7 downto 0););\r\nend component a;";
  158. expected = "COMPONENT a IS\r\n PORT (Data : INOUT Std_Logic_Vector(7 DOWNTO 0););\r\nEND COMPONENT a;";
  159. actual = beautify(input, newSettings);
  160. console.log("New line aster PORT (single line)", CompareString(actual, expected));
  161. input = "process xyx (vf,fr,\r\nde -- comment\r\n)";
  162. expected = "PROCESS xyx (vf, fr, \r\n de -- comment\r\n )";
  163. actual = beautify(input, newSettings);
  164. console.log("Align parameters in PROCESS", CompareString(actual, expected));
  165. input = "architecture a of b is\r\nbegin\r\n process (w)\r\n variable t : std_logic_vector (4 downto 0) ;\r\nbegin\r\n a := (others => '0') ;\r\nend process ;\r\nend a;";
  166. expected = "ARCHITECTURE a OF b IS\r\nBEGIN\r\n PROCESS (w)\r\n VARIABLE t : std_logic_vector (4 DOWNTO 0);\r\n BEGIN\r\n a := (OTHERS => '0');\r\n END PROCESS;\r\nEND a;";
  167. actual = beautify(input, newSettings);
  168. console.log("Double BEGIN", CompareString(actual, expected));
  169. let newSettings2 = deepCopy(newSettings);
  170. newSettings2.SignAlignAll = true;
  171. input = "entity a is\r\n port ( w : in std_logic_vector (7 downto 0) ;\r\n w_s : out std_logic_vector (3 downto 0) ; ) ;\r\nend a ;\r\narchitecture b of a is\r\nbegin\r\n process ( w )\r\n variable t : std_logic_vector (4 downto 0) ;\r\n variable bcd : std_logic_vector (11 downto 0) ;\r\nbegin\r\n b(2 downto 0) := w(7 downto 5) ;\r\n t := w(4 downto 0) ;\r\n w_s <= b(11 downto 8) ;\r\n w <= b(3 downto 0) ;\r\nend process ;\r\nend b ;";
  172. expected = "ENTITY a IS\r\n PORT \r\n (\r\n w : IN std_logic_vector (7 DOWNTO 0);\r\n w_s : OUT std_logic_vector (3 DOWNTO 0); \r\n );\r\nEND a;\r\nARCHITECTURE b OF a IS\r\nBEGIN\r\n PROCESS (w)\r\n VARIABLE t : std_logic_vector (4 DOWNTO 0);\r\n VARIABLE bcd : std_logic_vector (11 DOWNTO 0);\r\n BEGIN\r\n b(2 DOWNTO 0) := w(7 DOWNTO 5);\r\n t := w(4 DOWNTO 0);\r\n w_s <= b(11 DOWNTO 8);\r\n w <= b(3 DOWNTO 0);\r\n END PROCESS;\r\nEND b;";
  173. actual = beautify(input, newSettings2);
  174. console.log("Align signs in all places", CompareString(actual, expected));
  175. input = "begin\r\n P0 : process(input)\r\n variable value: Integer;\r\n begin\r\n result(i) := '0';\r\n end process P0;\r\nend behavior;";
  176. expected = "BEGIN\r\n P0 : PROCESS (input)\r\n VARIABLE value : INTEGER;\r\n BEGIN\r\n result(i) := '0';\r\n END PROCESS P0;\r\nEND behavior;";
  177. actual = beautify(input, newSettings);
  178. console.log("Indent after Begin", CompareString(actual, expected));
  179. }
  180. function CompareString(actual: string, expected: string) {
  181. var l = Math.min(actual.length, expected.length);
  182. for (var i = 0; i < l; i++) {
  183. if (actual[i] != expected[i]) {
  184. var toEnd = Math.min(i + 50, l);
  185. return '\ndifferent at ' + i.toString() +
  186. '\nactual: "\n' + actual.substring(i, toEnd) +
  187. '\nexpected: "\n' + expected.substring(i, toEnd) + '"\n---' +
  188. "\nactual (full): \n" + actual + "\n---" +
  189. "\nexpected (full): \n" + expected + "\n====\n";
  190. }
  191. }
  192. if (actual != expected) {
  193. return 'actual: \n"' + actual + '"\nexpected: \n"' + expected + '"';
  194. }
  195. return true;
  196. }
  197. function CompareArray(actual: Array<string>, expected: Array<string>) {
  198. var l = Math.min(actual.length, expected.length);
  199. let result: string = "";
  200. for (var i = 0; i < l; i++) {
  201. if (actual[i] != expected[i]) {
  202. result += CompareString(actual[i], expected[i]) + "\n";
  203. }
  204. }
  205. if (actual.length > expected.length) {
  206. result += "actual has more items";
  207. for (var i = expected.length; i < actual.length; i++) {
  208. result += "actual[" + i + "] = " + actual[i];
  209. }
  210. }
  211. else if (actual.length < expected.length) {
  212. result += "expected has more items";
  213. for (var i = actual.length; i < expected.length; i++) {
  214. result += "expected[" + i + "] = " + expected[i];
  215. }
  216. }
  217. return true;
  218. }